Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
R
 raise_objection
 raised
 read
 Read-only Interface
 Read/ Write Interface
 read_by_name
 read_by_type
 read_func
 read_mem
 read_mem_by_name
 read_reg
 read_reg_by_name
 reconfigure
 record
 record_all_messages
 record_error_tr
 record_event_tr
 record_field
 record_field_int
 record_field_real
 record_generic
 record_object
 record_read_access
 record_string
 record_time
 record_write_access
 Recording
 Recording Interface
 Recording Macros
 recursion_policy
 reference
 reg_ap
 reg_seq
 reg_seqr
 reg2bus
 register
 Register Access Test Sequences
 Register Callbacks
 Register Defines
 Register Layer
 Register Sequence Classes
 Registering Types
 release_all_regions
 release_region
 remove
 remove_sequence
 Report Handler Configuration
 Report Macros
 report_phase
 report_summarize
 Reporting
 Reporting Classes
 Reporting Interface
 req
 req_export
 req_port
 request_ap
 request_region
 Requests
 reseed
 reserve_region
 reset
 reset_blk
 reset_phase
 reset_quit_count
 reset_report_handler
 reset_severity_counts
 resolve_bindings
 Resources
 Response API
 response_ap
 response_handler
 Responses
 result
 resume
 Retrieving the factory
 rg
 rsp
 rsp_export
 rsp_port
 Run-Time Phasing
 run_phase
 run_test
 rw_info
virtual function void raise_objection (
    uvm_object  obj  =  null,
    string  description  =  "",
    int  count  =  1
)
Raises the number of objections for the source object by count, which defaults to 1.
virtual function void raise_objection (
    uvm_object  obj,   
    string  description  =  "",
    int  count  =  1
)
Raise an objection to ending this phase Provides components with greater control over the phase flow for processes which are not implicit objectors to the phase.
virtual function void raised (
    uvm_objection  objection,
    uvm_object  source_obj,
    string  description,
    int  count
)
The raised callback is called when this or a descendant of this component instance raises the specified objection.
virtual function void raised (
    uvm_object  obj,
    uvm_object  source_obj,
    string  description,
    int  count
)
Objection callback that is called when a raise_objection has reached obj.
virtual function void raised (
    uvm_objection  objection,
    uvm_object  obj,
    uvm_object  source_obj,
    string  description,
    int  count
)
Objection raised callback function.
virtual task read(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from a memory location
task read(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read from a memory location in the region.
virtual task read(
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from this register
virtual task read(
    uvm_reg_item  rw
)
User-defined backdoor read operation.
virtual task read (
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from this field
Reads the next value out of the DUT FIFO.
function T read(
    uvm_object  accessor  =  null
)
Return the object stored in the resource container.
virtual task read(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from a virtual register
virtual task read(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from a virtual field
read and write provide a type-safe interface for getting and setting the object in the resource container.
static function bit read_by_name(
    input  string  scope,   
    input  string  name,   
    inout  val,   
    input  uvm_object  accessor  =  null
)
locate a resource by name and scope and read its value.
static function bit read_by_type(
    input  string  scope,   
    inout  val,   
    input  uvm_object  accessor  =  null
)
Read a value by type.
virtual function void read_func(
    uvm_reg_item  rw
)
User-defined backdoor read operation.
virtual task read_mem(
    input  uvm_mem  mem,   
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Reads the given memory mem using uvm_mem::read, supplying ‘this’ as the parent argument.
virtual task read_mem_by_name(
    output  uvm_status_e  status,   
    input  string  name,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  data,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the named memory
virtual task read_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Reads the given register rg using uvm_reg::read, supplying ‘this’ as the parent argument.
virtual task read_reg_by_name(
    output  uvm_status_e  status,   
    input  string  name,   
    output  uvm_reg_data_t  data,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the named register
function uvm_mem_mam_cfg reconfigure(
    uvm_mem_mam_cfg  cfg  =  null
)
Reconfigure the manager
function void record (
    uvm_recorder  recorder  =  null
)
The record method deep-records this object’s properties according to an optional recorder policy.
bit record_all_messages = 0
A flag to force recording of all messages (add UVM_RM_RECORD action)
function integer record_error_tr (
    string  stream_name  =  "main",
    uvm_object  info  =  null,
    string  label  =  "error_tr",
    string  desc  =  "",
    time  error_time  =  0,
    bit  keep_active  =  0
)
This function marks an error transaction by a component.
function integer record_event_tr (
    string  stream_name  =  "main",
    uvm_object  info  =  null,
    string  label  =  "event_tr",
    string  desc  =  "",
    time  event_time  =  0,
    bit  keep_active  =  0
)
This function marks an event transaction by a component.
function void record_field(
    string  name,   
    uvm_bitstream_t  value,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX
)
Records an integral field (less than or equal to 4096 bits).
function void record_field_int(
    string  name,   
    uvm_integral_t  value,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX
)
Records an integral field (less than or equal to 64 bits).
function void record_field_real(
    string  name,
    real  value
)
Records a real field.
function void record_generic(
    string  name,   
    string  value,   
    string  type_name  =  ""
)
Records a name/value pair, where value has been converted to a string.
function void record_object(
    string  name,
    uvm_object  value
)
Records an object field.
function void record_read_access(
    uvm_object  accessor  =  null
)
function void record_string(
    string  name,
    string  value
)
Records a string field.
function void record_time(
    string  name,
    time  value
)
Records a time field.
function void record_write_access(
    uvm_object  accessor  =  null
)
These methods comprise the component-based transaction recording interface.
The recording macros assist users who implement the uvm_object::do_record method.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Sets the recursion policy for recording objects.
bit reference = 1
Controls whether to print a unique reference ID for object handles.
uvm_analysis_port #(
    uvm_reg_item
) reg_ap
Analysis output port that publishes uvm_reg_item transactions converted from bus transactions received on bus_in.
protected uvm_reg_single_access_seq reg_seq
The sequence used to test one register
protected uvm_reg_single_bit_bash_seq reg_seq
The sequence used to test one register
protected uvm_reg_shared_access_seq reg_seq
The sequence used to test one register
uvm_sequencer #(
    uvm_reg_item
) reg_seqr
Layered upstream “register” sequencer.
pure virtual function uvm_sequence_item reg2bus(
    const ref  uvm_reg_bus_op  rw
)
Extensions of this class must implement this method to convert the specified uvm_reg_bus_op to a corresponding uvm_sequence_item subtype that defines the bus transaction.
virtual function uvm_sequence_item reg2bus(
    const ref  uvm_reg_bus_op  rw
)
Converts a uvm_reg_bus_op struct to a uvm_tlm_gp item.
virtual function void register (
    uvm_object_wrapper  obj
)
Registers the given proxy object, obj, with the factory.
pure virtual function void register (
    uvm_object_wrapper  obj
)
Registers the given proxy object, obj, with the factory.
This section defines sequences that test DUT register access via the available frontdoor and backdoor paths defined in the provided register model.
This section defines the base class used for all register callback extensions.
The UVM register layer defines several base classes that, when properly extended, abstract the read/write operations to registers and memories in a design-under-verification.
This section defines the base classes used for register stimulus generation.
function void release_all_regions()
Forcibly release all allocated memory regions.
function void release_region(
    uvm_mem_region  region
)
Release the specified region
function void release_region()
Release this region
virtual function void release_region()
Dynamically un-implement a virtual register array
function void remove (
    uvm_component  comp
)
Remove a single component to the set of components being monitored.
static function void remove(
    uvm_reg  rg
)
Remove this callback from the specified register and its contained fields.
static function void remove(
    uvm_reg  rg
)
Remove this callback from the specified register and its contained fields.
virtual function void remove_sequence(
    uvm_object_wrapper  seq_type
)
Removes the given sequence type from this sequence library instance.
This set of macros provides wrappers around the uvm_report_* Reporting functions.
virtual function void report_phase(
    uvm_phase  phase
)
The uvm_report_phase phase implementation method.
virtual function void report_summarize(
    UVM_FILE  file  =  0
)
Outputs statistical information on the reports issued by this central report server.
pure virtual function void report_summarize(
    UVM_FILE  file  =  0
)
Outputs statistical information on the reports issued by this central report server.
The reporting classes provide a facility for issuing reports with consistent formatting.
Sequence items and sequences will use the sequencer which they are associated with for reporting messages.
REQ req
The sequence contains a field of the request type called req.
This export provides the blocking put interface whose default implementation produces an error.
The push sequencer requires access to a blocking put interface.
Transactions passed via put or try_put (via any port connected to the put_request_export) are sent out this port via its write method.
function uvm_mem_region request_region(
    int  unsigned  n_bytes,   
    uvm_mem_mam_policy  alloc  =  null,
    string  fname  =  "",
    int  lineno  =  0
)
Request and reserve a memory region
function void reseed ()
Calls srandom on the object to reseed the object using the UVM seeding mechanism, which sets the seed based on type name and instance name instead of based on instance position in a thread.
function uvm_mem_region reserve_region(
    bit  [63:0]  start_offset,   
    int  unsigned  n_bytes,   
    string  fname  =  "",
    int  lineno  =  0
)
Reserve a specific memory region
virtual function void reset (
    bit  wakeup  =  1
)
Resets the barrier.
virtual function void reset (
    bit  wakeup  =  0
)
Resets the event to its off state.
virtual function void reset(
    string  kind  =  "HARD"
)
Reset the desired/mirrored value for this register.
virtual function void reset(
    string  kind  =  "HARD"
)
Reset the mirror for this block.
virtual function void reset(
    string  kind  =  "HARD"
)
Reset the desired/mirrored value for this field.
virtual function void reset(
    string  kind  =  "SOFT"
)
Reset the mirror for all registers in this address map.
function void reset()
Reset the value to 0
function void reset(
    string  kind  =  "HARD"
)
Reset the access semaphore
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_phase(
    uvm_phase  phase
)
The uvm_reset_phase phase implementation method.
function void reset_quit_count()
Set, get, increment, or reset to 0 the quit count, i.e., the number of COUNT actions issued.
function void reset_report_handler
Resets the underlying report handler to its default settings.
function void reset_severity_counts()
Set, get, or increment the counter for the given severity, or reset all severity counters to 0.
virtual function void resolve_bindings ()
Processes all port, export, and imp connections.
virtual function void resolve_bindings()
This callback is called just before entering the end_of_elaboration phase.
Transactions passed via put or try_put (via any port connected to the put_response_export) are sent out this port via its write method.
virtual function void response_handler(
    uvm_sequence_item  response
)
When the use_response_handler bit is set to 1, this virtual task is called by the sequencer for each response that arrives for this sequence.
int unsigned result = 0
This bit stores the number of miscompares for a given compare operation.
virtual task resume ()
Resume this component.
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
RSP rsp
The sequence contains a field of the response type called rsp.
Drivers or monitors can connect to this port to send responses to the sequencer.
This port provides an alternate way of sending responses back to the originating sequencer.
This analysis port is used to send response transactions back to the originating sequencer.
virtual task run_phase(
    uvm_phase  phase
)
The uvm_run_phase phase implementation method.
task run_phase(
    uvm_phase  phase
)
The push sequencer continuously selects from its list of available sequences and sends the next item from the selected sequence out its req_port using req_port.put(item).
task run_test (
    string  test_name  =  ""
)
Convenience function for uvm_top.run_test().
virtual task run_test (
    string  test_name  =  ""
)
Phases all components through all registered phases.
uvm_reg_item rw_info
Holds information about the register being read or written