Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
G
 General Overview
 generate_stimulus
 Generic Payload
 Generic Register Operation Descriptors
 get
 Get and Peek
 get_abstime
 get_accept_time
 get_access
 get_action
 get_adapter
 get_addr_unit_bytes
 get_address
 get_addresses
 get_adjacent_predecessor_nodes
 get_adjacent_successor_nodes
 get_ap
 get_arbitration
 get_arg_matches
 get_arg_value
 get_arg_values
 get_args
 get_auto_predict
 get_automatic_phase_objection
 get_backdoor
 get_base_addr
 get_begin_time
 get_block_by_name
 get_blocks
 get_by_name
 get_by_type
 get_byte_enable
 get_byte_enable_length
 get_cb
 get_check_on_read
 get_child
 get_children
 get_client
 get_close_time
 get_command
 get_common_domain
 get_comp
 get_compare
 get_component_visitor
 get_config
 get_connected_to
 get_context
 get_coverage
 get_current_item
 get_data
 get_data_length
 get_db
 get_default_hdl_path
 get_default_path
 get_default_tr_database
 get_depth
 get_domain
 get_domain_name
 get_domains
 get_drain_time
 get_element_container
 get_elements
 get_end_offset
 get_end_time
 get_event_pool
 get_extension
 get_factory
 get_field_by_name
 get_fields
 get_file
 get_filename
 get_first
 get_first_child
 get_fname
 get_frontdoor
 get_full_hdl_path
 get_full_name
 get_global
 get_global_pool
 get_global_queue
 get_handle
 get_hdl_path
 get_hdl_path_kinds
 get_highest_precedence
 get_id
 get_id_count
 get_id_set
 get_if
 get_immediate_children
 get_imp
 get_incr
 get_initiator
 get_inst
 get_inst_count
 get_inst_id
 get_is_active
 get_item
 get_jump_target
 get_last
 get_len
 get_lhs
 get_line
 get_link
 get_lsb_pos
 get_lsb_pos_in_register
 get_map_by_name
 get_maps
 get_max_quit_count
 get_max_size
 get_mem_by_name
 get_mem_by_offset
 get_memories
 get_memory
 get_message
 get_message_database
 get_mirrored_value
 get_n_bits
 get_n_bytes
 get_n_maps
 get_n_memlocs
 get_name
 get_name_constraint
 get_next
 get_next_child
 get_next_item
 get_num_children
 get_num_extensions
 get_num_last_reqs
 get_num_last_rsps
 get_num_reqs_sent
 get_num_rsps_received
 get_num_waiters
 get_object_type
 get_objection
 get_objection_count
 get_objection_total
 get_objectors
 get_offset
 get_offset_in_memory
 get_open_time
 get_packed_size
 get_parent
 get_parent_map
 get_parent_sequence
 get_peek_export
 get_peek_request_export
 get_peek_response_export
 get_phase_type
 get_physical_addresses
 get_plusargs
 get_port
 get_prev
 get_prev_state
 get_priority
 get_propagate_mode
 get_provided_to
 get_quit_count
 get_radix_str
 get_realtime
 get_record_attribute_handle
 get_recorder_from_handle
 get_recorders
 get_reg_by_name
 get_reg_by_offset
 get_regfile
 get_region
 get_registers
 get_report_action
 get_report_catcher
 get_report_file_handle
 get_report_handler
 get_report_max_verbosity_level
 get_report_object
 get_report_server
 get_report_verbosity_level
 get_reset
 get_response
 get_response_queue_depth
 get_response_queue_error_report_disabled
 get_response_status
 get_response_string
 get_rhs
 get_rights
 get_root
 get_root_blocks
 get_root_map
 get_root_sequence
 get_root_sequence_name
 get_run_count
 get_schedule
 get_schedule_name
 get_scope
 get_sequence_id
 get_sequence_path
 get_sequence_state
 get_sequencer
 get_sequences
 get_server
 get_severity
 get_severity_count
 get_severity_set
 get_size
 get_start_offset
 get_starting_phase
 get_state
 get_stream
 get_stream_from_handle
 get_stream_type_name
 get_streaming_width
 get_streams
 get_submap_offset
 get_submaps
 get_threshold
 get_tool_name
 get_tool_version
 get_tr_handle
 get_tr_stream
 get_transaction_id
 get_transaction_order_policy
 get_trigger_data
 get_trigger_time
 get_type
 get_type_handle
 get_type_handle_name
 get_type_name
 get_use_response_handler
 get_use_sequence_info
 get_uvm_domain
 get_uvm_schedule
 get_uvmargs
 get_value
 get_verbosity
 get_vfield_by_name
 get_virtual_fields
 get_virtual_registers
 get_vreg_by_name
 get_vreg_by_offset
 Global Declarations for the Register Layer
 Global Functionality
 Global Macros
 Global object Macro definitions can be used in multiple locations
 Global Time Macro definitions that can be used in multiple locations
 Global Variables
 Globals
 grab
This is release 1.2 of the Universal Verification Methodology (UVM) reference implementation from Accellera.
virtual task generate_stimulus(
    t  =  null,
    int  max_count  =  0
)
Generate up to max_count transactions of type T.
This section defines the abstract register transaction item.
static function uvm_build_phase get()
Returns the singleton phase handle
static function uvm_check_phase get()
Returns the singleton phase handle
static function this_type get()
Returns the singleton instance of this type.
static function bit get(
    uvm_component  cntxt,
    string  inst_name,
    string  field_name,
    inout  value
)
Get the value for field_name in inst_name, using component cntxt as the starting search point.
static function uvm_configure_phase get()
Returns the singleton phase handle
static function uvm_connect_phase get()
Returns the singleton phase handle
static function uvm_coreservice_t get()
Returns an instance providing the uvm_coreservice_t interface.
static function uvm_end_of_elaboration_phase get()
Returns the singleton phase handle
static function uvm_extract_phase get()
Returns the singleton phase handle
static function uvm_factory get()
Static accessor for uvm_factory
static function uvm_final_phase get()
Returns the singleton phase handle
virtual function T get()
Returns the current value stored within the DAP, and ‘locks’ the DAP.
static function uvm_main_phase get()
Returns the singleton phase handle
static function this_type get()
Returns the singleton instance of this type.
virtual function T get (
    string  key
)
Returns the object item at the given string key.
virtual function T get (
    KEY  key
)
Returns the item with the given key.
static function uvm_post_configure_phase get()
Returns the singleton phase handle
static function uvm_post_main_phase get()
Returns the singleton phase handle
static function uvm_post_reset_phase get()
Returns the singleton phase handle
static function uvm_post_shutdown_phase get()
Returns the singleton phase handle
static function uvm_pre_configure_phase get()
Returns the singleton phase handle
static function uvm_pre_main_phase get()
Returns the singleton phase handle
static function uvm_pre_reset_phase get()
Returns the singleton phase handle
static function uvm_pre_shutdown_phase get()
Returns the singleton phase handle
virtual function T get (
    int  index
)
Returns the item at the given index.
virtual function uvm_reg_data_t get(
    string  fname  =  "",
    int  lineno  =  0
)
Return the desired value of the fields in the register.
virtual function uvm_reg_data_t get(
    string  fname  =  "",
    int  lineno  =  0
)
Return the desired value of the field
virtual function uvm_reg_data_t get(
    string  fname  =  "",
    int  lineno  =  0
)
Returns the next value from the abstract FIFO, but does not pop it.
static function uvm_report_phase get()
Returns the singleton phase handle
static function uvm_reset_phase get()
Returns the singleton phase handle
static function uvm_resource_pool get()
Returns the singleton handle to the resource pool
static function uvm_root get()
Static accessor for uvm_root.
static function uvm_run_phase get()
Returns the singleton phase handle
task get (
    output  REQ  t
)
Retrieves the next available item from a sequence.
virtual function T get()
Returns the current value stored within the DAP.
pure virtual function T get()
Retrieves the value contained within the resource.
static function uvm_shutdown_phase get()
Returns the singleton phase handle
virtual function T get()
Returns the current value stored within the DAP
virtual task get(
    output  T1  t
)
Retrieves the next available item from a sequence.
static function uvm_start_of_simulation_phase get()
Returns the singleton phase handle
virtual task get(
    output  T2  t
)
Provides a new transaction of type T.
The get interfaces are used to retrieve transactions from other components.
function real get_abstime(
    real  secs
)
Return the current canonical time value, in the number of specified time unit, regardless of the current timescale of the caller.
function time get_accept_time ()
virtual function string get_access(
    uvm_reg_map  map  =  null
)
Returns the access policy of the memory when written and read via an address map.
virtual function string get_access(
    uvm_reg_map  map  =  null
)
Get the access policy of the field
virtual function string get_access(
    uvm_reg_map  map  =  null
)
Returns the access policy of the virtual register array when written and read via an address map.
virtual function string get_access(
    uvm_reg_map  map  =  null
)
Returns the access policy of the virtual field register when written and read via an address map.
function uvm_action get_action()
Returns the uvm_action of the message that is currently being processed.
virtual function uvm_action get_action()
virtual function uvm_action get_action()
virtual function uvm_reg_adapter get_adapter (
    uvm_hier_e  hier  =  UVM_HIER
)
Gets the bus adapter for the bus associated with this map.
virtual function int unsigned get_addr_unit_bytes()
Get the number of bytes in the smallest addressable unit in the map.
virtual function uvm_reg_addr_t get_address(
    uvm_reg_addr_t  offset  =  0,
    uvm_reg_map  map  =  null
)
Returns the base external physical address of a memory location
virtual function uvm_reg_addr_t get_address (
    uvm_reg_map  map  =  null
)
Returns the base external physical address of this register
virtual function bit [63:0] get_address()
Get the value of the m_address variable
virtual function uvm_reg_addr_t get_address(
    longint  unsigned  idx,   
    uvm_reg_map  map  =  null
)
Returns the base external physical address of a virtual register
virtual function int get_addresses(
    uvm_reg_addr_t  offset  =  0,
    uvm_reg_map  map  =  null,
    ref  uvm_reg_addr_t  addr[]   
)
Identifies the external physical address(es) of a memory location
virtual function int get_addresses (
    uvm_reg_map  map  =  null,
    ref  uvm_reg_addr_t  addr[]   
)
Identifies the external physical address(es) of this register
function void get_adjacent_predecessor_nodes(
    ref  uvm_phase  pred[]
)
Provides an array of nodes which are predecessors to this phase node.
function void get_adjacent_successor_nodes(
    ref  uvm_phase  succ[]
)
Provides an array of nodes which are successors to this phase node.
Transactions passed via get, try_get, peek, or try_peek (via any port connected to the get_peek_export) are sent out this port via its write method.
function UVM_SEQ_ARB_TYPE get_arbitration()
Return the current arbitration mode set for this sequencer.
function int get_arg_matches (
    string  match,
    ref  string  args[$]
)
This function loads a queue with all of the arguments that match the input expression and returns the number of items that matched.
function int get_arg_value (
    string  match,
    ref  string  value
)
This function finds the first argument which matches the match arg and returns the suffix of the argument.
function int get_arg_values (
    string  match,
    ref  string  values[$]
)
This function finds all the arguments which matches the match arg and returns the suffix of the arguments in a list of values.
function void get_args (
    output  string  args[$]
)
This function returns a queue with all of the command line arguments that were used to start the simulation.
function bit get_auto_predict()
Gets the auto-predict mode setting for this map.
function bit get_automatic_phase_objection()
Returns (and locks) the value of the ‘automatically object to starting phase’ bit.
function uvm_reg_backdoor get_backdoor(
    bit  inherited  =  1
)
Returns the user-defined backdoor for this memory
function uvm_reg_backdoor get_backdoor(
    bit  inherited  =  1
)
Returns the user-defined backdoor for this register
function uvm_reg_backdoor get_backdoor(
    bit  inherited  =  1
)
Get the user-defined backdoor for all registers in this block
virtual function uvm_reg_addr_t get_base_addr (
    uvm_hier_e  hier  =  UVM_HIER
)
Get the base offset address for this map.
function time get_begin_time ()
virtual function uvm_reg_block get_block_by_name (
    string  name
)
Finds a sub-block with the specified simple name.
virtual function void get_blocks (
    ref  uvm_reg_block  blks[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the sub-blocks
static function this_type get_by_name(
    string  scope,   
    string  name,   
    bit  rpterr  =  1
)
looks up a resource by name in the name map.
static function rsrc_t get_by_name(
    string  scope,   
    string  name,   
    bit  rpterr  =  1
)
Imports a resource by name.
function uvm_resource_base get_by_name(
    string  scope  =  "",
    string  name,   
    uvm_resource_base  type_handle,   
    bit  rpterr  =  1
)
Lookup a resource by name, scope, and type_handle.
static function this_type get_by_type(
    string  scope  =  "",
    uvm_resource_base  type_handle   
)
looks up a resource by type_handle in the type map.
static function rsrc_t get_by_type(
    string  scope
)
Get a resource by type.
function uvm_resource_base get_by_type(
    string  scope  =  "",
    uvm_resource_base  type_handle   
)
Lookup a resource by type_handle and scope.
virtual function void get_byte_enable(
    output byte  unsigned  p[]
)
Return the value of the m_byte_enable array
virtual function int unsigned get_byte_enable_length()
Return the current size of the m_byte_enable array
function CB get_cb()
Returns the last callback accessed via a first() or next() call.
function bit get_check_on_read()
Gets the check-on-read mode setting for this map.
function uvm_component get_child (
    string  name
)
function void get_children(
    ref  uvm_component  children[$]
)
This function populates the end of the children array with the list of this component’s children.
function uvm_report_object get_client()
Returns the uvm_report_object that has generated the message that is currently being processed.
function time get_close_time()
Returns the close_time
virtual function uvm_tlm_command_e get_command()
Get the value of the m_command variable
static function uvm_domain get_common_domain()
Get the “common” domain, which consists of the common phases that all components execute in sync with each other.
virtual function uvm_port_component_base get_comp()
Returns a handle to the internal proxy component representing this port.
function uvm_check_e get_compare()
Returns the compare policy for this field.
pure virtual function uvm_visitor#(
    uvm_component
) get_component_visitor()
intended to retrieve the current component visitor see set_component_visitor
virtual function uvm_visitor#(
    uvm_component
) get_component_visitor()
retrieves the current component visitor if unset(or null) returns a uvm_component_name_check_visitor instance
static function TYPE get_config(
    uvm_component  comp,
    bit  is_fatal
)
This method gets the object config of type TYPE associated with component comp.
pure virtual function void get_connected_to(
    ref  uvm_port_list  list
)
For a port or export type, this function fills list with all of the ports, exports and implementations that this port is connected to.
function string get_context()
Returns the context name of the message that is currently being processed.
virtual function string get_context()
virtual function bit get_coverage(
    uvm_reg_cvr_t  is_on
)
Check if coverage measurement is on.
virtual function bit get_coverage(
    uvm_reg_cvr_t  is_on
)
Check if coverage measurement is on.
virtual function bit get_coverage(
    uvm_reg_cvr_t  is_on  =  UVM_CVR_ALL
)
Check if coverage measurement is on.
function REQ get_current_item()
Returns the request item currently being executed by the sequencer.
function REQ get_current_item()
Returns the request_item currently being executed by the sequencer.
virtual function void get_data (
    output byte unsigned  []
)
Return the value of the m_data array
virtual function int unsigned get_data_length()
Return the current size of the m_data array
function uvm_tr_database get_db()
Returns a reference to the database which contains this stream.
function string get_default_hdl_path ()
Get the default design abstraction
function string get_default_hdl_path ()
Get the default design abstraction
virtual function uvm_path_e get_default_path()
Default access path
pure virtual function uvm_tr_database get_default_tr_database()
intended to return the current default record database
virtual function uvm_tr_database get_default_tr_database()
returns the current default record database
function int unsigned get_depth()
Returns the component’s depth from the root level.
function int get_depth()
Returns the depth of a sequence from its parent.
function uvm_domain get_domain()
Return handle to the phase domain set on this component
function uvm_domain get_domain()
Returns the enclosing domain
function string get_domain_name()
Returns the domain name associated with this phase node
static function void get_domains(
    output  uvm_domain  domains[string]
)
Provides a list of all domains in the provided domains argument.
function time get_drain_time (
    uvm_object  obj  =  null
)
Returns the current drain time set for the given object (default: 0 ns).
function uvm_report_message_element_container get_element_container()
Returns the element container of the message.
virtual function uvm_report_message_element_container get_element_container()
Get the element_container of the message
Get all the elements from the container and put them in a queue
function bit [63:0] get_end_offset()
Get the end offset of the region
function time get_end_time ()
Returns the time at which this transaction was accepted, begun, or ended, as by a previous call to accept_tr, begin_tr, begin_child_tr, or end_tr.
function uvm_event_pool get_event_pool ()
Returns the event pool associated with this transaction.
function uvm_tlm_extension_base get_extension(
    uvm_tlm_extension_base  ext_handle
)
Return the instance specific extension bound under the specified key.
pure virtual function uvm_factory get_factory()
intended to return the currently enabled uvm factory,
virtual function uvm_factory get_factory()
Returns the currently enabled uvm factory.
virtual function uvm_reg_field get_field_by_name(
    string  name
)
Return the named field in this register
virtual function uvm_reg_field get_field_by_name (
    string  name
)
Finds a field with the specified simple name.
virtual function uvm_vreg_field get_field_by_name(
    string  name
)
Return the named virtual field in this virtual register
virtual function void get_fields (
    ref  uvm_reg_field  fields[$]
)
Return the fields in this register
virtual function void get_fields (
    ref  uvm_reg_field  fields[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the fields
virtual function void get_fields (
    ref  uvm_reg_field  fields[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the fields
virtual function void get_fields(
    ref  uvm_vreg_field  fields[$]
)
Return the virtual fields in this virtual register
virtual function UVM_FILE get_file()
virtual function string get_filename()
static function CB get_first (
    ref  int  itr,
    input  obj
)
Returns the first enabled callback of type CB which resides in the queue for obj.
function int get_first_child (
    ref  string  name
)
These methods are used to iterate through this component’s children, if any.
function string get_fname()
Returns the file name of the message.
function uvm_reg_frontdoor get_frontdoor(
    uvm_reg_map  map  =  null
)
Returns the user-defined frontdoor for this memory
function uvm_reg_frontdoor get_frontdoor(
    uvm_reg_map  map  =  null
)
Returns the user-defined frontdoor for this register
function void get_full_hdl_path (
    ref  uvm_hdl_path_concat  paths[$],   
    input  string  kind  =  "",
    input  string  separator  =  "."
)
Get the full hierarchical HDL path(s)
function void get_full_hdl_path (
    ref  uvm_hdl_path_concat  paths[$],   
    input  string  kind  =  "",
    input  string  separator  =  "."
)
Get the full hierarchical HDL path(s)
function void get_full_hdl_path (
    ref  string  paths[$],   
    input  string  kind  =  "",
    string  separator  =  "."
)
Get the full hierarchical HDL path(s)
function void get_full_hdl_path (
    ref  string  paths[$],   
    input  string  kind  =  "",
    input  string  separator  =  "."
)
Get the full hierarchical HDL path(s)
virtual function string get_full_name ()
Returns the full hierarchical name of this object.
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name ()
Returns the full hierarchical name of this object.
virtual function string get_full_name()
Returns the full path from the enclosing domain down to this node.
virtual function string get_full_name()
Returns the full hierarchical name of this port.
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
virtual function string get_full_name()
Get the hierarchical name
static function T get_global (
    string  key
)
Returns the specified item instance from the global item pool.
static function T get_global (
    KEY  key
)
Returns the specified item instance from the global item pool.
static function T get_global (
    int  index
)
Returns the specified item instance from the global item queue.
static function this_type get_global_pool ()
Returns the singleton global pool for the item type, T.
static function this_type get_global_pool ()
Returns the singleton global pool for the item type, T.
static function this_type get_global_queue ()
Returns the singleton global queue for the item type, T.
function integer get_handle()
Returns a unique ID for this recorder.
function integer get_handle()
Returns a unique ID for this stream.
function void get_hdl_path (
    ref  uvm_hdl_path_concat  paths[$],   
    input  string  kind  =  ""
)
Get the incremental HDL path(s)
function void get_hdl_path (
    ref  uvm_hdl_path_concat  paths[$],   
    input  string  kind  =  ""
)
Get the incremental HDL path(s)
function void get_hdl_path (
    ref  string  paths[$],   
    input  string  kind  =  ""
)
Get the incremental HDL path(s)
function void get_hdl_path (
    ref  string  paths[$],   
    input  string  kind  =  ""
)
Get the incremental HDL path(s)
function void get_hdl_path_kinds (
    ref  string  kinds[$]
)
Get design abstractions for which HDL paths have been defined
function void get_hdl_path_kinds (
    ref  string  kinds[$]
)
Get design abstractions for which HDL paths have been defined
static function this_type get_highest_precedence(
    ref uvm_resource_types:: rsrc_q_t  q
)
In a queue of resources, locate the first one with the highest precedence whose type is T.
function uvm_resource_base get_highest_precedence(
    ref uvm_resource_types:: rsrc_q_t  q
)
Traverse a queue, q, of resources and return the one with the highest precedence.
function string get_id()
Returns the string id of the message that is currently being processed.
virtual function string get_id()
function int get_id_count(
    string  id
)
pure virtual function int get_id_count(
    string  id
)
returns the count of already passed messages with id
pure virtual function void get_id_set(
    output  string  q[$]
)
returns the set of id’s already used by this uvm_report_server
function uvm_port_base #(
    IF
) get_if(int index=0)
Returns the implementation (imp) port at the given index from the array of imps this port is connected to.
pure virtual function void get_immediate_children(
    STRUCTURE  s,
    ref  STRUCTURE  children[$]
)
This method will be return in children a set of the direct subelements of s
function uvm_phase get_imp()
Returns the phase implementation for this this node.
virtual function int unsigned get_incr()
Returns the number of memory locations between two individual virtual registers in the same array.
function uvm_component get_initiator ()
Returns the component that produced or started the transaction, as set by a previous call to set_initiator.
static function uvm_cmdline_processor get_inst()
Returns the singleton instance of the UVM command line processor.
static function int get_inst_count()
Returns the current value of the instance counter, which represents the total number of uvm_object-based objects that have been allocated in simulation.
virtual function int get_inst_id ()
Returns the object’s unique, numeric instance identifier.
virtual function uvm_active_passive_enum get_is_active()
Returns UVM_ACTIVE is the agent is acting as an active agent and UVM_PASSIVE if it is acting as a passive agent.
virtual function uvm_reg_item get_item()
Returns the bus-independent read/write information that corresponds to the generic bus transaction currently translated to a bus-specific transaction.
function uvm_phase get_jump_target()
Return handle to the target phase of the current jump, or null if no jump is in progress.
static function CB get_last (
    ref  int  itr,
    input  obj
)
Returns the last enabled callback of type CB which resides in the queue for obj.
function int unsigned get_len()
Size of the memory region
function uvm_object get_lhs()
Gets the left-hand-side of the link
function int get_line()
Returns the line number of the message.
virtual function int get_line()
static function uvm_cause_effect_link get_link(
    uvm_object  lhs,   
    uvm_object  rhs,   
    string  name  =  "ce_link"
)
Constructs a pre-filled link
static function uvm_parent_child_link get_link(
    uvm_object  lhs,   
    uvm_object  rhs,   
    string  name  =  "pc_link"
)
Constructs a pre-filled link
static function uvm_related_link get_link(
    uvm_object  lhs,   
    uvm_object  rhs,   
    string  name  =  "ce_link"
)
Constructs a pre-filled link
virtual function int unsigned get_lsb_pos()
Return the position of the field
virtual function int unsigned get_lsb_pos_in_register()
Return the position of the virtual field / Returns the index of the least significant bit of the virtual field in the virtual register that instantiates it.
virtual function uvm_reg_map get_map_by_name (
    string  name
)
Finds an address map with the specified simple name.
virtual function void get_maps (
    ref  uvm_reg_map  maps[$]
)
Returns all of the address maps where this memory is mapped
virtual function void get_maps (
    ref  uvm_reg_map  maps[$]
)
Returns all of the address maps where this register is mapped
virtual function void get_maps (
    ref  uvm_reg_map  maps[$]
)
Get the address maps
virtual function void get_maps (
    ref  uvm_reg_map  maps[$]
)
Returns all of the address maps where this virtual register array is mapped
function int get_max_quit_count()
pure virtual function int get_max_quit_count()
returns the currently configured max quit count
static function int unsigned get_max_size()
Returns the maximum width, in number of bits, of all memories
static function int unsigned get_max_size()
Returns the maximum width, in bits, of all registers.
static function int unsigned get_max_size()
Returns the width, in number of bits, of the largest field.
virtual function uvm_mem get_mem_by_name (
    string  name
)
Finds a memory with the specified simple name.
virtual function uvm_mem get_mem_by_offset(
    uvm_reg_addr_t  offset
)
Get memory mapped at offset
virtual function void get_memories (
    ref  uvm_mem  mems[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the memories
virtual function void get_memories (
    ref  uvm_mem  mems[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the memories
function uvm_mem get_memory()
Get the managed memory implementation
function uvm_mem get_memory()
Get the memory where the region resides
virtual function uvm_mem get_memory()
Get the memory where the virtual register array is implemented
function string get_message()
Returns the string message of the message that is currently being processed.
virtual function string get_message()
virtual function uvm_tr_database get_message_database()
returns the uvm_tr_database used for recording messages
pure virtual function uvm_tr_database get_message_database()
returns the uvm_tr_database used for recording messages
virtual function uvm_reg_data_t get_mirrored_value(
    string  fname  =  "",
    int  lineno  =  0
)
Return the mirrored value of the fields in the register.
virtual function uvm_reg_data_t get_mirrored_value(
    string  fname  =  "",
    int  lineno  =  0
)
Return the mirrored value of the field
function int unsigned get_n_bits()
Returns the width, in number of bits, of each memory location
virtual function int unsigned get_n_bits ()
Returns the width, in bits, of this register.
virtual function int unsigned get_n_bits()
Returns the width, in number of bits, of the field.
virtual function int unsigned get_n_bits()
Returns the width, in bits, of the virtual field.
function int unsigned get_n_bytes()
Return the width, in number of bytes, of each memory location
function int unsigned get_n_bytes()
Number of bytes in the region
virtual function int unsigned get_n_bytes()
Returns the width, in bytes, of this register.
virtual function int unsigned get_n_bytes (
    uvm_hier_e  hier  =  UVM_HIER
)
Get the width in bytes of the bus associated with this map.
virtual function int unsigned get_n_bytes()
Returns the width, in bytes, of a virtual register.
virtual function int get_n_maps ()
Returns the number of address maps this memory is mapped in
virtual function int get_n_maps ()
Returns the number of address maps this register is mapped in
virtual function int get_n_maps ()
Returns the number of address maps this virtual register array is mapped in
virtual function int unsigned get_n_memlocs()
Returns the number of memory locations used by a single virtual register.
Get the simple name
virtual function string get_name ()
Returns the name of the object, as provided by the name argument in the new constructor or set_name method.
function string get_name()
Returns the leaf name of this port.
Get the simple name
Get the simple name
Get the simple name
Get the simple name
Get the simple name
virtual function string get_name()
function string get_name()
Return the name of this instance
Get the simple name
Get the simple name
virtual function string get_name_constraint()
This method should return a regex for what is being considered a valid/good component name.
static function CB get_next (
    ref  int  itr,
    input  obj
)
Returns the next enabled callback of type CB which resides in the queue for obj, using itr as the starting point.
function int get_next_child (
    ref  string  name
)
virtual task get_next_item (
    output  REQ  t
)
Retrieves the next available item from a sequence.
virtual task get_next_item(
    output  T1  t
)
Retrieves the next available item from a sequence.
function int get_num_children ()
Returns the number of this component’s children.
function int get_num_extensions()
Return the current number of instance specific extensions.
function int unsigned get_num_last_reqs()
Returns the size of the last requests buffer, as set by set_num_last_reqs.
function int unsigned get_num_last_rsps()
Returns the max size of the last responses buffer, as set by set_num_last_rsps.
function int get_num_reqs_sent()
Returns the number of requests that have been sent by this sequencer.
function int get_num_rsps_received()
Returns the number of responses received thus far by this sequencer.
virtual function int get_num_waiters ()
Returns the number of processes currently waiting at the barrier.
virtual function int get_num_waiters ()
Returns the number of processes waiting on the event.
virtual function uvm_object_wrapper get_object_type ()
Returns the type-proxy (wrapper) for this object.
function uvm_objection get_objection()
Return the uvm_objection that gates the termination of the phase.
function int get_objection_count (
    uvm_object  obj  =  null
)
Returns the current number of objections raised by the given object.
virtual function int get_objection_count(
    uvm_object  obj  =  null
)
Returns the current number of objections to ending this phase raised by the given object.
function int get_objection_total (
    uvm_object  obj  =  null
)
Returns the current number of objections raised by the given object and all descendants.
function void get_objectors(
    ref  uvm_object  list[$]
)
Returns the current list of objecting objects (objects that raised an objection but have not dropped it).
virtual function uvm_reg_addr_t get_offset (
    uvm_reg_addr_t  offset  =  0,
    uvm_reg_map  map  =  null
)
Returns the base offset of a memory location
virtual function uvm_reg_addr_t get_offset (
    uvm_reg_map  map  =  null
)
Returns the offset of this register
virtual function uvm_reg_addr_t get_offset_in_memory(
    longint  unsigned  idx
)
Returns the offset of a virtual register
function time get_open_time()
Returns the open_time
virtual function int get_packed_size()
Returns the number of bits that were packed.
virtual function uvm_component get_parent ()
Returns a handle to this component’s parent, or null if it has no parent.
virtual function uvm_reg_block get_parent ()
Get the parent block
function uvm_phase get_parent()
Returns the parent schedule node, if any, for hierarchical graph traversal
virtual function uvm_component get_parent()
Returns the handle to this port’s parent, or null if it has no parent.
virtual function uvm_reg_block get_parent ()
Get the parent block
virtual function uvm_reg_block get_parent()
Get the parent block
virtual function uvm_reg get_parent()
Get the parent register
virtual function uvm_reg_block get_parent ()
Get the parent block
virtual function uvm_reg_block get_parent()
Get the parent block
virtual function uvm_reg_block get_parent()
Get the parent block
virtual function uvm_vreg get_parent()
Get the parent virtual register
virtual function uvm_reg_map get_parent_map()
Get the higher-level address map
function uvm_sequence_base get_parent_sequence()
Returns a reference to the parent sequence of any sequence on which this method was called.
The get_peek_export provides all the blocking and non-blocking get and peek interface methods:
The get_peek_export provides all the blocking and non-blocking get and peek interface methods to the response FIFO:
The get_peek_response_export provides all the blocking and non-blocking get and peek interface methods to the response FIFO:
function uvm_phase_type get_phase_type()
Returns the phase type as defined by uvm_phase_type
virtual function int get_physical_addresses(
    uvm_reg_addr_t  base_addr,
    uvm_reg_addr_t  mem_offset,
    int  unsigned  n_bytes,
    ref  uvm_reg_addr_t  addr[]
)
Translate a local address into external addresses
function void get_plusargs (
    output  string  args[$]
)
This function returns a queue with all of the plus arguments that were used to start the simulation.
function PORT get_port()
Retrieve the actual port object that this proxy refers to.
static function CB get_prev (
    ref  int  itr,
    input  obj
)
Returns the previous enabled callback of type CB which resides in the queue for obj, using itr as the starting point.
virtual function uvm_phase_state get_prev_state()
Returns the state the phase just transitioned from.
function int get_priority()
This function returns the current priority of the sequence.
function bit get_propagate_mode()
Returns the propagation mode for this objection.
pure virtual function void get_provided_to(
    ref  uvm_port_list  list
)
For an implementation or export type, this function fills list with all of the ports, exports and implementations that this port is provides its implementation to.
function int get_quit_count()
pure virtual function int get_quit_count()
returns the current number of UVM_QUIT actions already passed through this server
function string get_radix_str(
    uvm_radix_enum  radix
)
Converts the radix from an enumerated to a printable radix according to the radix printing knobs (bin_radix, and so on).
function real get_realtime(
    time  scaled,   
    real  secs  =  1.0e-9
)
Return the current canonical time value, scaled for the caller’s timescale
virtual function integer get_record_attribute_handle()
Provides a tool-specific handle which is compatible with `uvm_record_attribute.
static function uvm_recorder get_recorder_from_handle(
    integer  id
)
Static accessor, returns a recorder reference for a given unique id.
function unsigned get_recorders(
    ref  uvm_recorder  q[$]
)
Provides a queue of all transactions within the stream.
virtual function uvm_reg get_reg_by_name (
    string  name
)
Finds a register with the specified simple name.
virtual function uvm_reg get_reg_by_offset(
    uvm_reg_addr_t  offset,   
    bit  read  =  1
)
Get register mapped at offset
virtual function uvm_reg_file get_regfile ()
Get the parent register file
virtual function uvm_reg_file get_regfile ()
Get the parent register file
virtual function uvm_mem_region get_region()
Get the region where the virtual register array is implemented
virtual function void get_registers (
    ref  uvm_reg  regs[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the registers
virtual function void get_registers (
    ref  uvm_reg  regs[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the registers
function int get_report_action(
    uvm_severity  severity,
    string  id
)
Gets the action associated with reports having the given severity and id.
static function uvm_report_catcher get_report_catcher(
    string  name
)
Returns the first report catcher that has name.
function int get_report_file_handle(
    uvm_severity  severity,
    string  id
)
Gets the file descriptor associated with reports having the given severity and id.
virtual function uvm_report_handler get_report_handler()
function uvm_report_handler get_report_handler()
Returns the underlying report handler to which most reporting tasks are delegated.
function int get_report_max_verbosity_level()
Gets the maximum verbosity level in effect for this report object.
virtual function uvm_report_object get_report_object()
pure virtual function uvm_report_server get_report_server()
intended to return the current global report_server
virtual function uvm_report_server get_report_server()
returns the current global report_server if no report server has been set before, returns an instance of uvm_default_report_server
virtual function uvm_report_server get_report_server()
function int get_report_verbosity_level(
    uvm_severity  severity  =  UVM_INFO,
    string  id  =  ""
)
Gets the verbosity level in effect for this object.
virtual function uvm_reg_data_t get_reset(
    string  kind  =  "HARD"
)
Get the specified reset value for this register
virtual function uvm_reg_data_t get_reset(
    string  kind  =  "HARD"
)
Get the specified reset value for this field
virtual task get_response(
    output  RSP  response,   
    input  int  transaction_id  =  -1
)
By default, sequences must retrieve responses by calling get_response.
function int get_response_queue_depth()
Returns the current depth setting for the response queue.
function bit get_response_queue_error_report_disabled()
When this bit is 0 (default value), error reports are generated when the response queue overflows.
virtual function uvm_tlm_response_status_e get_response_status()
Return the current value of the m_response_status variable
virtual function string get_response_string()
Return the current value of the m_response_status variable as a string
function uvm_object get_rhs()
Gets the right-hand-side of the link
virtual function string get_rights (
    uvm_reg_map  map  =  null
)
Returns the access rights of this memory.
virtual function string get_rights (
    uvm_reg_map  map  =  null
)
Returns the accessibility (“RW, “RO”, or “WO”) of this register in the given map.
virtual function string get_rights(
    uvm_reg_map  map  =  null
)
Returns the access rights of this virtual register array
pure virtual function uvm_root get_root()
returns the uvm_root instance
static function void get_root_blocks(
    ref  uvm_reg_block  blks[$]
)
Get the all root blocks
virtual function uvm_reg_map get_root_map()
Get the externally-visible address map
function uvm_sequence_base get_root_sequence()
Provides a reference to the root sequence (the top-most parent sequence).
function string get_root_sequence_name()
Provides the name of the root sequence (the top-most parent sequence).
function int get_run_count()
Accessor to return the integer number of times this phase has executed
function uvm_phase get_schedule(
    bit  hier  =  0
)
Returns the topmost parent schedule node, if any, for hierarchical graph traversal
function string get_schedule_name(
    bit  hier  =  0
)
Returns the schedule name associated with this phase node
function string get_scope()
Retrieve the regular expression string that identifies the set of scopes over which this resource is visible.
function string get_scope()
Returns the scope supplied when opening this stream.
function int get_sequence_id()
private
function string get_sequence_path()
Provides a string of names of each sequence in the full hierarchical path.
function uvm_sequence_state_enum get_sequence_state()
Returns the sequence state as an enumerated value.
virtual function uvm_sequencer_base get_sequencer (
    uvm_hier_e  hier  =  UVM_HIER
)
Gets the sequencer for the bus associated with this map.
function uvm_sequencer_base get_sequencer()
Returns a reference to the default sequencer used by this sequence.
virtual function void get_sequences(
    ref  uvm_object_wrapper  seq_types[$]
)
Append to the provided seq_types array the list of registered sequences.
static function uvm_report_server get_server()
Gets the global report server used for reporting.
function uvm_severity get_severity()
Returns the uvm_severity of the message that is currently being processed.
virtual function uvm_severity get_severity()
function int get_severity_count(
    uvm_severity  severity
)
pure virtual function int get_severity_count(
    uvm_severity  severity
)
returns the count of already passed messages with severity severity
pure virtual function void get_severity_set(
    output  uvm_severity  q[$]
)
returns the set of severities already used by this uvm_report_server
function longint unsigned get_size()
Returns the number of unique memory locations in this memory.
virtual function int unsigned get_size()
Returns the size of the virtual register array.
function bit [63:0] get_start_offset()
Get the start offset of the region
function uvm_phase get_starting_phase()
Returns the ‘starting phase’.
function uvm_phase_state get_state()
Accessor to return current state of this phase
virtual function uvm_phase_state get_state()
Returns the state the phase just transitioned to.
function uvm_tr_stream get_stream()
Returns a reference to the stream which created this record.
static function uvm_tr_stream get_stream_from_handle(
    integer  id
)
Static accessor, returns a stream reference for a given unique id.
function string get_stream_type_name()
Returns a reference to the database which contains this stream.
virtual function int unsigned get_streaming_width()
Get the value of the m_streaming_width array
function unsigned get_streams(
    ref  uvm_tr_stream  q[$]
)
Provides a queue of all streams within the database.
virtual function uvm_reg_addr_t get_submap_offset (
    uvm_reg_map  submap
)
Return the offset of the given submap.
virtual function void get_submaps (
    ref  uvm_reg_map  maps[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the address sub-maps
virtual function int get_threshold ()
Gets the current threshold setting for the barrier.
function string get_tool_name ()
Returns the simulation tool that is executing the simulation.
function string get_tool_version ()
Returns the version of the simulation tool that is executing the simulation.
function integer get_tr_handle()
Returns the integral recording transaction handle for this sequence.
function integer get_tr_handle ()
Returns the handle associated with the transaction, as set by a previous call to begin_child_tr or begin_tr with transaction recording enabled.
virtual function uvm_tr_stream get_tr_stream(
    string  name,   
    string  stream_type_name  =  ""
)
Returns a tr stream with this component’s full name as a scope.
function integer get_transaction_id()
Returns this transaction’s numeric identifier, which is -1 if not set explicitly by set_transaction_id.
function uvm_reg_transaction_order_policy get_transaction_order_policy()
set the transaction order policy
virtual function T get_trigger_data ()
Gets the data, if any, provided by the last call to trigger.
virtual function time get_trigger_time ()
Gets the time that this event was last triggered.
static function uvm_object_wrapper get_type ()
Returns the type-proxy (wrapper) for this object.
static function this_type get_type()
Static function that returns the static type handle.
function uvm_resource_base get_type_handle()
Returns the static type handle of this resource in a polymorphic fashion.
pure virtual function uvm_resource_base get_type_handle()
Pure virtual function that returns the type handle of the resource container.
pure virtual function uvm_tlm_extension_base get_type_handle()
An interface to polymorphically retrieve a handle that uniquely identifies the type of the sub-class
pure virtual function string get_type_handle_name()
An interface to polymorphically retrieve the name that uniquely identifies the type of the sub-class
virtual function string get_type_name()
Returns the type name of this callback object.
virtual function string get_type_name()
Returns the value given by the string parameter, Tname.
virtual function string get_type_name ()
This function returns the type name of the object, which is typically the type identifier enclosed in quotes.
virtual function string get_type_name()
Returns the value given by the string parameter, Tname.
virtual function string get_type_name()
Returns the type name of this object.
pure virtual function string get_type_name()
Derived classes implement this method to return the type name of the object created by create_component or create_object.
virtual function string get_type_name()
Returns the type name to this port.
virtual function string get_type_name()
Get the type name of this class
function bit get_use_response_handler()
Returns the state of the use_response_handler bit.
function bit get_use_sequence_info()
These methods are used to set and get the status of the use_sequence_info bit.
static function uvm_domain get_uvm_domain()
Get a handle to the singleton uvm domain
static function uvm_phase get_uvm_schedule()
Get the “UVM” schedule, which consists of the run-time phases that all components execute when participating in the “UVM” domain.
This function returns a queue with all of the uvm arguments that were used to start the simulation.
virtual function uvm_bitstream_t get_value(
    output  int  size,
    output  uvm_radix_enum  radix
)
virtual function uvm_object get_value()
Get the value (object reference) of the element
virtual function string get_value()
function int get_verbosity()
Returns the verbosity of the message that is currently being processed.
virtual function int get_verbosity()
virtual function uvm_vreg_field get_vfield_by_name(
    string  name
)
Find the named virtual field
virtual function uvm_vreg_field get_vfield_by_name (
    string  name
)
Finds a virtual field with the specified simple name.
virtual function void get_virtual_fields(
    ref  uvm_vreg_field  fields[$]
)
Return the virtual fields in the memory
virtual function void get_virtual_fields (
    ref  uvm_vreg_field  fields[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the virtual fields
virtual function void get_virtual_fields (
    ref  uvm_vreg_field  fields[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the virtual fields
virtual function void get_virtual_registers(
    ref  uvm_vreg  regs[$]
)
Return the virtual registers in this memory
function uvm_vreg get_virtual_registers()
Get the virtual register array in this region
virtual function void get_virtual_registers(
    ref  uvm_vreg  regs[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the virtual registers
virtual function void get_virtual_registers (
    ref  uvm_vreg  regs[$],   
    input  uvm_hier_e  hier  =  UVM_HIER
)
Get the virtual registers
virtual function uvm_vreg get_vreg_by_name(
    string  name
)
Find the named virtual register
virtual function uvm_vreg get_vreg_by_name (
    string  name
)
Finds a virtual register with the specified simple name.
virtual function uvm_vreg get_vreg_by_offset(
    uvm_reg_addr_t  offset,   
    uvm_reg_map  map  =  null
)
Find the virtual register implemented at the specified offset
This section defines globally available types, enums, and utility classes.
UVM provides other functionality at the package scope including methods, enums, defines, and classes.
Defines, Constants, enums.
task grab(
    uvm_sequencer_base  sequencer  =  null
)
Requests a lock on the specified sequencer.
virtual task grab(
    uvm_sequence_base  sequence_ptr
)
Requests a lock for the sequence specified by sequence_ptr.