Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
F
 Factory Classes
 Factory Component and Object Wrappers
 Factory Interface
 Field automation
 Field Macros
 fifo
 File Configuration
 final_phase
 find
 find_all
 find_block
 find_blocks
 find_by_name
 find_override_by_name
 find_override_by_type
 find_unused_resources
 find_wrapper_by_name
 finish_item
 finish_on_completion
 first
 flush
 fname
 footer
 for_each
 format_action
 format_footer
 format_header
 format_row
 free
 free_tr_stream
 from_name
 Frontdoor
 full_name
As the name implies, the uvm_factory is used to manufacture (create) UVM objects and components.
The factory interface provides convenient access to a portion of UVM’s uvm_factory interface.
The `uvm_field_* macros are invoked inside of the `uvm_*_utils_begin and `uvm_*_utils_end macro blocks to form “automatic” implementations of the core data methods: copy, compare, pack, unpack, record, print, and sprint.
rand uvm_reg_data_t fifo[$]
The abstract representation of the FIFO.
virtual function void final_phase(
    uvm_phase  phase
)
The uvm_final_phase phase implementation method.
function uvm_phase find(
    uvm_phase  phase,   
    bit  stay_in_scope  =  1
)
Locate the phase node with the specified phase IMP and return its handle.
function uvm_component find (
    string  comp_match
)
function void find_all (
    string  comp_match,   
    ref  uvm_component  comps[$],   
    input  uvm_component  comp  =  null
)
Returns the component handle (find) or list of components handles (find_all) matching a given string.
static function types_t find_all(
    uvm_component  start
)
Recursively finds all component instances of the parameter type TYPE, starting with the component given by start.
static function uvm_reg_block find_block(
    input  string  name,   
    input  uvm_reg_block  root  =  null,
    input  uvm_object  accessor  =  null
)
Find the first block whose hierarchical names match the specified name glob.
static function int find_blocks(
    input  string  name,   
    ref  uvm_reg_block  blks[$],   
    input  uvm_reg_block  root  =  null,
    input  uvm_object  accessor  =  null
)
Find the blocks whose hierarchical names match the specified name glob.
function uvm_phase find_by_name(
    string  name,   
    bit  stay_in_scope  =  1
)
Locate a phase node with the specified name and return its handle.
virtual function uvm_object_wrapper find_override_by_name (
    string  requested_type_name,
    string  full_inst_path
)
These methods return the proxy to the object that would be created given the arguments.
pure virtual function uvm_object_wrapper find_override_by_name (
    string  requested_type_name,
    string  full_inst_path
)
These methods return the proxy to the object that would be created given the arguments.
virtual function uvm_object_wrapper find_override_by_type (
    uvm_object_wrapper  requested_type,
    string  full_inst_path
)
pure virtual function uvm_object_wrapper find_override_by_type (
    uvm_object_wrapper  requested_type,
    string  full_inst_path
)
function uvm_resource_types::rsrc_q_t find_unused_resources()
Locate all the resources that have at least one write and no reads
pure virtual function uvm_object_wrapper find_wrapper_by_name (
    string  type_name
)
This method returns the uvm_object_wrapper associated with a given type_name.
virtual task finish_item (
    uvm_sequence_item  item,   
    int  set_priority  =  -1
)
finish_item, together with start_item together will initiate operation of a sequence_item.
bit finish_on_completion = 1
If set, then run_test will call $finish after all phases are executed.
function CB first()
Returns the first valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int first (
    ref  KEY  key
)
Returns the key of the first item stored in the pool.
virtual function void flush()
This method sets m_matches and m_mismatches back to zero.
virtual function void flush()
Removes all entries from the FIFO, after which used returns 0 and is_empty returns 1.
string fname
The file name from where this transaction originated, if provided at the call site.
bit footer = 1
Indicates whether the uvm_printer::format_footer function should be called when printing an object.
function uvm_mem_region for_each(
    bit  reset  =  0
)
Iterate over all currently allocated regions
static function string format_action(
    uvm_action  action
)
Returns a string representation of the action, e.g., “DISPLAY”.
virtual function string format_footer()
Hook to override base footer with a custom footer.
virtual function string format_header()
Hook to override base header with a custom header.
virtual function string format_row (
    uvm_printer_row_info  row
)
Hook for producing custom output of a single field (row).
function void free(
    time  close_time  =  0
)
Frees this recorder
function void free()
Frees this stream.
virtual function void free_tr_stream(
    uvm_tr_stream  stream
)
Frees the internal references associated with stream.
static function bit from_name(
    string  name,
    ref  value
)
Attempts to convert a string name to an enumerated value.
bit full_name = 0
Indicates whether uvm_printer::adjust_name should print the full name of an identifier or just the leaf name.