Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
H
 Handles
 has_child
 has_coverage
 has_do_available
 has_hdl_path
 has_lock
 has_reset
 HDL Access
 HDL Paths Checking Test Sequence
 header
 hex_radix
 Hierarchical Reporting Interface
 Hierarchy Interface
I
 ID
 id Count
 Identification
 identifier
 IMP binding classes
 IMP binding macros
 implement
 Implementation Agnostic API
 Implementation Callbacks
 Implementation Specific API
 in_use
 include_coverage
 incr
 incr_id_count
 incr_quit_count
 incr_severity_count
 indent
 Infrastructure References
 init_access_record
 init_sequence_library
 initialization
 Initialization
 insert
 Interface Masks
 Intro
 Introspection
 is
 is_active
 is_after
 is_auditing
 is_auto_item_recording_enabled
 is_auto_updated
 is_before
 is_blocked
 is_busy
 is_child
 is_closed
 is_dmi_allowed
 is_empty
 is_enabled
 is_export
 is_full
 is_grabbed
 is_hdl_path_root
 is_imp
 is_in_map
 is_indv_accessible
 is_item
 is_known_access
 is_locked
 is_null
 is_off
 is_on
 is_open
 is_port
 is_quit_count_reached
 is_read
 is_read_only
 is_recording_enabled
 is_relevant
 is_response_error
 is_response_ok
 is_tracing
 is_unbounded
 is_volatile
 is_write
 issue
 item_done
 Iterator Interface
J
 jump
 jump_to
 Jumping
K
 kill
 kind
 knobs
L
 last
 last_req
 last_rsp
 len
 lineno
 Link API
 local_map
 locality
 locality_e
 lock
 lock_model
 Locking
 lookup
 Lookup
 lookup_name
 lookup_regex
 lookup_regex_names
 lookup_scope
 lookup_type
M
 m_address
 m_byte_enable
 m_byte_enable_length
 m_command
 m_data
 m_dmi
 m_length
 m_response_status
 m_streaming_width
 Macros
 Macros and Defines
 main_phase
 mam
 Mantis 3407(+)
 Mantis 3472(*)
 Mantis 3557
 Mantis 3586(+)
 Mantis 3693(+)
 Mantis 3741(+)
 Mantis 3783
 Mantis 3887(*)
 Mantis 3893(+)
 Mantis 3901
 Mantis 4032(+)
 Mantis 4091
 Mantis 4160
 Mantis 4181(+)
 Mantis 4253
 Mantis 4269(*)
 Mantis 4306
 Mantis 4307
 Mantis 4366(+)
 Mantis 4378
 Mantis 4382(+)
 Mantis 4389(+)
 Mantis 4391
 Mantis 4416(*)
 Mantis 4418
 Mantis 4425
 Mantis 4430(+)
 Mantis 4431(*)(+)
 Mantis 4432(+)
 Mantis 4433(*)
 Mantis 4434(+)
 Mantis 4439(+)
 Mantis 4440
 Mantis 4469(+)
 Mantis 4476(+)
 Mantis 4488(+)
 Mantis 4513(+)
 Mantis 4516(*)
 Mantis 4517(+)
 Mantis 4518(*)(+)
 Mantis 4519(+)
 Mantis 4532
 Mantis 4533
 Mantis 4542
 Mantis 4548
 Mantis 4550
 Mantis 4553(+)
 Mantis 4566
 Mantis 4567
 Mantis 4570(*)(+)
 Mantis 4572
 Mantis 4587
 Mantis 4588
 Mantis 4590
 Mantis 4606
 Mantis 4617
 Mantis 4618(*)
 Mantis 4621
 Mantis 4622
 Mantis 4643
 Mantis 4649(+)(*)
 Mantis 4666
 Mantis 4677
 Mantis 4686(+)
 Mantis 4690
 Mantis 4711
 Mantis 4731
 Mantis 4732(+)
 Mantis 4734
 Mantis 4738
 Mantis 4743(+)
 Mantis 4744(*)(+)
 Mantis 4766(*)
 Mantis 4767(+)
 Mantis 4772
 Mantis 4783(*)
 Mantis 4784(+)
 Mantis 4786(+)
 Mantis 4861
 Mantis 4871
 Mantis 4882
 Mantis 4884
 Mantis 4893
 Mantis 4920
 Mantis 4923
 Mantis 4925
 Mantis 4931
 map
 Master and Slave
 master_export
 match_scope
 max_offset
 max_random_count
 max_size
 mcd
 mem
 mem_seq
 Memory Access Test Sequence
 Memory Allocation Manager
 Memory Management
 Memory Walking-Ones Test Sequences
 Message Element APIs
 Message Element Macros
 Message Fields
 Message Processing
 message recording
 Message Trace Macros
 Methods
Globaluvm_*_export#(REQ,RSP)uvm_*_export#(T)uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)uvm_*_imp#(T,IMP)uvm_*_port#(REQ,RSP)uvm_*_port#(T)uvm_agentuvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)uvm_analysis_exportuvm_analysis_portuvm_barrieruvm_bottomup_phaseuvm_build_phaseuvm_built_in_pair#(T1,T2)uvm_callbackuvm_callback_iteruvm_check_phaseuvm_class_pair#(T1,T2)uvm_compareruvm_component_name_check_visitoruvm_component_registry#(T,Tname)uvm_config_dbuvm_config_db_optionsuvm_configure_phaseuvm_connect_phaseuvm_coreservice_tuvm_default_coreservice_tuvm_domainuvm_driver#(REQ,RSP)uvm_end_of_elaboration_phaseuvm_enum_wrapper#(T)uvm_envuvm_event#(T)uvm_event_baseuvm_event_callbackuvm_extract_phaseuvm_final_phaseuvm_hdl_path_concatuvm_heartbeatuvm_in_order_comparator#(T,comp_type,convert,pair_type)uvm_main_phaseuvm_mem_access_sequvm_mem_regionuvm_mem_single_walk_sequvm_mem_walk_sequvm_monitoruvm_object_string_pool#(T)uvm_object_wrapperuvm_objection_callbackuvm_phase_cbuvm_phase_state_changeuvm_pool#(KEY,T)uvm_port_base#(IF)uvm_port_component#(PORT)uvm_port_component_baseuvm_post_configure_phaseuvm_post_main_phaseuvm_post_reset_phaseuvm_post_shutdown_phaseuvm_pre_configure_phaseuvm_pre_main_phaseuvm_pre_reset_phaseuvm_pre_shutdown_phaseuvm_printer_knobsuvm_push_driver#(REQ,RSP)uvm_push_sequencer#(REQ,RSP)uvm_queue#(T)uvm_random_stimulus#(T)uvm_reg_access_sequvm_reg_backdooruvm_reg_bit_bash_sequvm_reg_cbsuvm_reg_frontdooruvm_reg_hw_reset_sequvm_reg_indirect_datauvm_reg_itemuvm_reg_mem_built_in_sequvm_reg_mem_shared_access_sequvm_reg_predictoruvm_reg_read_only_cbsuvm_reg_tlm_adapteruvm_reg_transaction_order_policyuvm_reg_write_only_cbsuvm_report_message_element_baseuvm_report_message_element_containeruvm_report_message_int_elementuvm_report_message_object_elementuvm_report_message_string_elementuvm_report_phaseuvm_report_serveruvm_reset_phaseuvm_resource_dbuvm_resource_db_optionsuvm_resource_optionsuvm_run_phaseuvm_scoreboarduvm_seq_item_pull_imp#(REQ,RSP,IMP)uvm_sequence#(REQ,RSP)uvm_sequencer_baseuvm_shutdown_phaseuvm_sqr_if_base#(REQ,RSP)uvm_start_of_simulation_phaseuvm_structure_proxy#(STRUCTURE)uvm_subscriberuvm_table_printeruvm_task_phaseuvm_testuvm_tlm_analysis_fifo#(T)uvm_tlm_b_initiator_socketuvm_tlm_b_target_socketuvm_tlm_extensionuvm_tlm_extension_baseuvm_tlm_fifo#(T)uvm_tlm_fifo_base#(T)uvm_tlm_nb_initiator_socketuvm_tlm_nb_passthrough_target_socketuvm_tlm_nb_target_socketuvm_tlm_nb_transport_bw_exportuvm_tlm_nb_transport_bw_portuvm_tlm_req_rsp_channel#(REQ,RSP)uvm_tlm_transport_channel#(REQ,RSP)uvm_topdown_phaseuvm_transactionuvm_tree_printeruvm_utils#(TYPE,FIELD)uvm_visitor#(NODE)uvm_visitor_adapter#(STRUCTURE,uvm_visitor#(STRUCTURE))uvm_vreg_cbsuvm_vreg_field_cbs
 Methods for printer subtyping
 Methods for printer usage
 mid_do
 min_offset
 min_random_count
 min_size
 mirror
 mirror_reg
 Miscellaneous
 Miscellaneous Structures
 miscompares
 mode
 model
 Modifying the offset of a memory will make the abstract model
function int has_child (
    string  name
)
Returns 1 if this component has a child with the given name, 0 otherwise.
virtual function bit has_coverage(
    uvm_reg_cvr_t  models
)
Check if memory has coverage model(s)
virtual function bit has_coverage(
    uvm_reg_cvr_t  models
)
Check if register has coverage model(s)
virtual function bit has_coverage(
    uvm_reg_cvr_t  models
)
Check if block has coverage model(s)
Returns 1 if any sequence running on this sequencer is ready to supply a transaction, 0 otherwise.
virtual function bit has_do_available()
Returns 1 if any sequence running on this sequencer is ready to supply a transaction, 0 otherwise.
virtual function bit has_do_available()
Indicates whether a sequence item is available for immediate processing.
function bit has_hdl_path (
    string  kind  =  ""
)
Check if a HDL path is specified
function bit has_hdl_path (
    string  kind  =  ""
)
Check if a HDL path is specified
function bit has_hdl_path (
    string  kind  =  ""
)
Check if a HDL path is specified
function bit has_hdl_path (
    string  kind  =  ""
)
Check if a HDL path is specified
function bit has_lock()
Returns 1 if this sequence has a lock, 0 otherwise.
function bit has_lock(
    uvm_sequence_base  sequence_ptr
)
Returns 1 if the sequence referred to in the parameter currently has a lock on this sequencer, 0 otherwise.
virtual function bit has_reset(
    string  kind  =  "HARD",
    bit  delete  =  0
)
Check if any field in the register has a reset value specified for the specified reset kind.
virtual function bit has_reset(
    string  kind  =  "HARD",
    bit  delete  =  0
)
Check if the field has a reset value specified
bit header = 1
Indicates whether the uvm_printer::format_header function should be called when printing an object.
string hex_radix = "'h"
This string should be prepended to the value of an integral type when a radix of UVM_HEX is used for the radix of the integral object.
This interface provides versions of the set_report_* methods in the uvm_report_object base class that are applied recursively to this component and all its children.
These methods provide user access to information about the component hierarchy, i.e., topology.
static function this_type ID()
Return the unique ID of this TLM extension type.
bit identifier = 1
Indicates whether uvm_printer::adjust_name should print the identifier.
bit identifier = 1
This bit is used to specify whether or not an object’s reference should be recorded when the object is recorded.
virtual function bit implement(
    longint  unsigned  n,   
    uvm_mem  mem  =  null,
    uvm_reg_addr_t  offset  =  0,
    int  unsigned  incr  =  0
)
Dynamically implement, resize or relocate a virtual register array
uvm_mem_region in_use[$]
Regions already allocated in the managed address space
static function void include_coverage(
    string  scope,   
    uvm_reg_cvr_t  models,   
    uvm_object  accessor  =  null
)
Specify which coverage model that must be included in various block, register or memory abstraction class instances.
function void incr(
    real  t,   
    time  scaled,   
    real  secs  =  1.0e-9
)
Increment the time value by the specified number of scaled time unit
function void incr_id_count(
    string  id
)
Set, get, or increment the counter for reports with the given id.
function void incr_quit_count()
function void incr_severity_count(
    uvm_severity  severity
)
int indent = 2
This knob specifies the number of spaces to use for level indentation.
function void init_access_record (
    inout uvm_resource_types:: access_t  access_record
)
Initialize a new access record
function void init_sequence_library()
All subtypes of this class must call init_sequence_library in its constructor.
virtual function void insert (
    int  index,
    item
)
Inserts the item at the given index in the queue.
Each of the following macros is a mask that identifies which interfaces a particular port requires or export provides.
The uvm_config_db class provides a convenience interface on top of the uvm_resource_db to simplify the basic interface that is used for configuring uvm_component instances.
The uvm_get_to_lock_dap cannot support the standard UVM instrumentation methods (copy, clone, pack and unpack), due to the fact that they would potentially violate the access policy.
The uvm_set_before_get_dap cannot support the standard UVM instrumentation methods (copy, clone, pack and unpack), due to the fact that they would potentially violate the access policy.
The uvm_simple_lock_dap cannot support the standard UVM instrumentation methods (copy, clone, pack and unpack), due to the fact that they would potentially violate the access policy.
function bit is(
    uvm_phase  phase
)
returns 1 if the containing uvm_phase refers to the same phase as the phase argument, 0 otherwise
function bit is_active ()
Returns 1 if the transaction has been started but has not yet been ended.
function bit is_after(
    uvm_phase  phase
)
returns 1 if the containing uvm_phase refers to a phase that is later than the phase argument, 0 otherwise
static function bit is_auditing()
Returns 1 if the auditing facility is on and 0 if it is off.
virtual function bit is_auto_item_recording_enabled()
Return TRUE if automatic item recording is enabled for this port instance.
virtual function bit is_auto_updated(
    uvm_reg_field  field
)
Indicates if wait_for_change() method is implemented
function bit is_before(
    uvm_phase  phase
)
Returns 1 if the containing uvm_phase refers to a phase that is earlier than the phase argument, 0 otherwise
function bit is_blocked()
Returns a bit indicating whether this sequence is currently prevented from running due to another lock or grab.
function bit is_blocked(
    uvm_sequence_base  sequence_ptr
)
Returns 1 if the sequence referred to by sequence_ptr is currently locked out of the sequencer.
function bit is_busy()
Returns 1 if register is currently being read or written.
function bit is_child (
    uvm_sequence_base  parent,
    uvm_sequence_base  child
)
Returns 1 if the child sequence is a child of the parent sequence, 0 otherwise.
function bit is_closed()
Returns true if this uvm_recorder was closed on its stream, but has not yet been freed.
function bit is_closed()
Returns true if this uvm_tr_stream was closed on the database, but has not yet been freed.
virtual function bit is_dmi_allowed()
DMI hint.
virtual function bit is_empty()
Returns 1 when there are no entries in the FIFO, 0 otherwise.
function bit is_enabled()
Returns 1 if the callback is enabled, 0 otherwise.
function bit is_export ()
pure virtual function bit is_export()
virtual function bit is_full()
Returns 1 when the number of entries in the FIFO is equal to its size, 0 otherwise.
virtual function bit is_grabbed()
Returns 1 if any sequence currently has a lock or grab on this sequencer, 0 otherwise.
function bit is_hdl_path_root (
    string  kind  =  ""
)
Check if this block has an absolute path
function bit is_imp ()
Returns 1 if this port is of the type given by the method name, 0 otherwise.
pure virtual function bit is_imp()
These function determine the type of port.
function bit is_in_map (
    uvm_reg_map  map
)
Return TRUE if this memory is in the specified address map
function bit is_in_map (
    uvm_reg_map  map
)
Returns 1 if this register is in the specified address map
function bit is_in_map (
    uvm_reg_map  map
)
Return TRUE if this virtual register array is in the specified address map
function bit is_indv_accessible (
    uvm_path_e  path,
    uvm_reg_map  local_map
)
Check if this field can be written individually, i.e.
virtual function bit is_item()
Returns 1 on items and 0 on sequences.
virtual function bit is_item()
This function may be called on any sequence_item or sequence.
virtual function bit is_known_access(
    uvm_reg_map  map  =  null
)
Check if access policy is a built-in one.
function bit is_locked()
Return TRUE if the model is locked.
function bit is_locked()
Returns the state of the lock.
virtual function bit is_null ()
This method is used during unpack operations to peek at the next 4-bit chunk of the pack data and determine if it is 0.
virtual function bit is_off ()
Indicates whether the event has been triggered or been reset.
virtual function bit is_on ()
Indicates whether the event has been triggered since it was last reset.
function bit is_open()
Returns true if this uvm_recorder was opened on its stream, but has not yet been closed.
function bit is_open()
Returns the open/closed status of the database.
function bit is_open()
Returns true if this uvm_tr_stream was opened on the database, but has not yet been closed.
function bit is_port ()
pure virtual function bit is_port()
function bit is_quit_count_reached()
If is_quit_count_reached returns 1, then the quit counter has reached the maximum.
virtual function bit is_read()
Returns true if the current value of the m_command variable is UVM_TLM_READ_COMMAND.
function bit is_read_only()
Returns one if this resource has been set to read-only, zero otherwise
function bit is_recording_enabled()
Returns 1 if recording is currently on, 0 otherwise.
virtual function bit is_relevant()
The default is_relevant implementation returns 1, indicating that the sequence is always relevant.
virtual function bit is_response_error()
Return TRUE if the current value of the m_response_status variable is not UVM_TLM_OK_RESPONSE
virtual function bit is_response_ok()
Return TRUE if the current value of the m_response_status variable is UVM_TLM_OK_RESPONSE
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
static function bit is_tracing()
Returns 1 if the tracing facility is on and 0 if it is off.
function bit is_unbounded ()
Returns 1 if this port has no maximum on the number of implementation ports this port can connect to.
virtual function bit is_volatile()
Indicates if the field value is volatile
virtual function bit is_write()
Returns true if the current value of the m_command variable is UVM_TLM_WRITE_COMMAND.
protected function void issue()
Immediately issues the message which is currently being processed.
virtual function void item_done (
    RSP  item  =  null
)
Indicates that the request is completed.
virtual function void item_done(
    input  T2  t  =  null
)
Indicates that the request is completed to the sequencer.
This set of functions provide an iterator interface for callback queues.
function void jump(
    uvm_phase  phase
)
jumps all active phases of this domain to to-phase if there is a path between active-phase and to-phase
function void jump(
    uvm_phase  phase
)
Jump to a specified phase.
function uvm_phase jump_to()
If the current state is UVM_PHASE_ENDED or UVM_PHASE_JUMPING because of a phase jump, returns the phase that is the target of jump.
function void kill()
This function will kill the sequence, and cause all current locks and requests in the sequence’s default sequencer to be removed.
uvm_access_e kind
Kind of access: READ or WRITE.
rand uvm_access_e kind
Kind of access: READ or WRITE.
uvm_printer_knobs knobs = new
The knob object provides access to the variety of knobs associated with a specific printer instance.
function CB last()
Returns the last valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int last (
    ref  KEY  key
)
Returns the key of the last item stored in the pool.
function REQ last_req(
    int  unsigned  n  =  0
)
Returns the last request item by default.
function RSP last_rsp(
    int  unsigned  n  =  0
)
Returns the last response item by default.
int unsigned len
Number of addresses required
int lineno
The file name from where this transaction originated, if provided at the call site.
uvm_reg_map local_map
The local map used to obtain addresses.
rand uvm_mem_mam::locality_e locality
Region location mode
Location of memory regions
task lock(
    uvm_sequencer_base  sequencer  =  null
)
Requests a lock on the specified sequencer.
virtual task lock(
    uvm_sequence_base  sequence_ptr
)
Requests a lock for the sequence specified by sequence_ptr.
function void lock()
Locks the data value
virtual function void lock_model()
Lock a model and build the address map.
function uvm_component lookup (
    string  name
)
Looks for a component with the given hierarchical name relative to this component.
This group of functions is for finding resources in the resource database.
function uvm_resource_types::rsrc_q_t lookup_name(
    string  scope  =  "",
    string  name,   
    uvm_resource_base  type_handle  =  null,
    bit  rpterr  =  1
)
Lookup resources by name.
function uvm_resource_types::rsrc_q_t lookup_regex(
    string  re,
      scope
)
Looks for all the resources whose name matches the regular expression argument and whose scope matches the current scope.
function uvm_resource_types::rsrc_q_t lookup_regex_names(
    string  scope,   
    string  name,   
    uvm_resource_base  type_handle  =  null
)
This utility function answers the question, for a given name, scope, and type_handle, what are all of the resources with requested name, a matching scope (where the resource scope may be a regular expression), and a matching type? 
function uvm_resource_types::rsrc_q_t lookup_scope(
    string  scope
)
This is a utility function that answers the question: For a given scope, what resources are visible to it? 
function uvm_resource_types::rsrc_q_t lookup_type(
    string  scope  =  "",
    uvm_resource_base  type_handle   
)
Lookup resources by type.
rand bit [63:0] m_address
Address for the bus operation.
rand byte unsigned m_byte_enable[]
Indicates valid m_data array elements.
rand int unsigned m_byte_enable_length
The number of elements in the m_byte_enable array.
rand uvm_tlm_command_e m_command
Bus operation type.
rand byte unsigned m_data[]
Data read or to be written.
bit m_dmi
DMI mode is not yet supported in the UVM TLM2 subset.
rand int unsigned m_length
The number of bytes to be copied to or from the m_data array, inclusive of any bytes disabled by the m_byte_enable attribute.
rand uvm_tlm_response_status_e m_response_status
Status of the bus operation.
rand int unsigned m_streaming_width
Number of bytes transferred on each beat.
UVM includes some macros to allow the user to specify intent without the need to specify multiple types of SystemVerilog constructs.
virtual task main_phase(
    uvm_phase  phase
)
The uvm_main_phase phase implementation method.
uvm_mem_mam mam
Memory allocation manager
uvm_event should be a parameterized class
Deprecate get_config/set_config interface for configuration
ref guide doesn’t show names of UVM runtime phases
UVM_DISABLE_AUTO_ITEM_RECORDING should be a run_time option
Setting enum values by name from command line doesn’t work
Phase’s default sequence should also have get_config string support
Remove all $display calls from BCL, except report_server
request facility to identify unused factory overrides
Feature request to create a non-hierarchical objection
Feature request to prevent objection from throwing decrement-below-zero error if the count is 0
Not possible to undo a factory override.
bug in the get_full_name method of uvm_reg_file.
uvm_comparer not using verbosity setting for print_msg()
transaction order unclear and cant be changed when bus/reg size differ
Volatile fields should always need updating
Add UVM_ prefix to enumerated values
Bad reference to “record_field_int” in reference guide
Bad reference to “DEC” in reference guide
uvm_sequence_library documentation missing from html documentation
Documentation of uvm_component::set_inst_override_by_type() incorrectly documents uvm_factory::set_inst_override_by_type()
some symbols in uvm_radix_enum undocumented
Deprecate hierarchical propagation of objections; add mode bit in interim
Redundant code in uvm_port_base
Remove the confusing uvm_severity type
set_report_verbosity doc unclear about setting value or threshold
BUSY flag not cleared when register model is reset
Refactor start_phase_sequence logic to be able to kill sequence on phase ending
Enhance uvm_sequence::starting_phase
Provide auto-object-to-phase in uvm_sequence_base
Clean up the number of objections created by phasing
Provide get_objection_count in uvm_phase
seq_item_port.put_response is not documented
jump logic too early in phase state pipeline
Provide schedule introspection via get_predecessor/successor_nodes
uvm_sequence fields req/rsp are not documented
sequencer_base should throw error if user allows wait_for_relevant to return while is_relevant=0
Add uvm_mem_mam_policy allocator to uvm_vreg::allocate()
Remove the unnecessary over-prioritization of uvm_sequence_base in default_sequence
Add phase-transition callbacks
Make UVM_OBJECT_MUST_HAVE_CONSTRUCTOR the default behavior
Enable all flavors of phase jumping
uvm_reg_access_seq ignoring regs with one RO field
initialized memory on DPI side may survive reset and will cause bad behaviour
uvm_test_done should be made “const”
cleanup static dpi issues
are uvm tlm connections with non-uvm_object a legal/supported use model?
Infinite recursion in uvm_reg_field::get_access
comparer.show_max can cause check to pass when length mismatches
uvm_reg::do_predict ignoring UVM_NOT_OK
Messaging System Revamp
packing/unpacking issues
Bugs in UVM 1.1-c: uvm_reg_tlm_adapter::reg2bus()
in-built sequence is not equipped to exclude a block from the test sequence
update uvm_hdl.c to allow VCS/IUS to perform backdoor access to VHDL
uvm_report_server is not really extendable/chainable
uvm_report_cb::add_by_name example needs review
resource lookup broken/undefined when fieldname has wildcards
printing of int fields will mask Z values with X
uvm_phase::unsync() does not work
uvm_dpi_get_next_arg_c doesnt fully adhere to -1800-lrm
Improve memory performance of ‘bitstream’ interfaces for report/record/etc.
uvm_config_db::set expects to be invoked in process context
remove uvm_global_copy_map
predict updated not just the mirrored field
Typo in uvm_sequence_base.vh (mehod should be method)
uvm macros violate 1800lrm iterator requirements
Static initialization races
uvm_phase_state initializes to incorrect value
Bug in uvm_reg_map::get_size();
DPI Code does not use UVM Messaging
Promote get_next_item, try_next_item, item_done, get, peek, and put to be a part of the standard
Recording System Revamp
Fix broken `uvm_record_field + `uvm_record_attribute interaction
`uvm_pack_int and `uvm_unpack_int bypass the uvm_packer policy methods
separate uvm_hdl* source into files-by-vendor
`uvm_record_* macros bypass uvm_recorder policy class
`uvm_record_int/string/time/real are undocumented
print_real is undocumented
Adding a uvm_reg_map to an existing regmap instance required both maps to have the same parent.
Fixed a problem wherein multiple +uvm_set_* commands were ignored after a format error.
The instance name of the phase objection was changed to reflect the name of the phase.
A performance fix was implemented which avoided the copy of large QDA within the spell checker.
Fixed a bug within uvm_leaf_scope which could lead to stall of simulation.
Fixed random stability bug in lookup_name which caused instability when the config database was queried.
A check was added for an out-of-bound queue access in uvm_misc.svh.
The macros `UVM_MAX_STREAMBITS, `UVM_PACKER_MAX_BYTES and `UVM_DEFAULT_TIMEOUT were relocated to src/macros/uvm_global_defines.svh, which is included via uvm_macros.svh.
Various typos and bad links within the reference guide were corrected.
uvm_reg_map map
The original map specified for the operation.
uvm_reg_map map
The map used to convert a bus address to the corresponding register or memory handle.
The primitive, unidirectional put, get, and peek interfaces are combined to form bidirectional master and slave interfaces.
Exports a single interface that allows a master to put requests and get or peek responses.
function bit match_scope(
    string  s
)
Using the regular expression facility, determine if this resource is visible in a scope.
bit [63:0] max_offset
Maximum address offset in the managed address space
int unsigned max_random_count=10
Sets the maximum number of items to execute.
Returns the maximum number of implementation ports that must be connected to this port by the end_of_elaboration phase.
int mcd = UVM_STDOUT
This is a file descriptor, or multi-channel descriptor, that specifies where the print output should be directed.
uvm_mem mem
The memory to be tested
uvm_mem mem
The memory to be tested
uvm_mem mem
The memory to test; must be assigned prior to starting sequence.
protected uvm_mem_single_access_seq mem_seq
The sequence used to test one memory
protected uvm_mem_single_walk_seq mem_seq
The sequence used to test one memory
protected uvm_mem_shared_access_seq mem_seq
The sequence used to test one memory
Manages the exclusive allocation of consecutive memory locations called regions.
This section defines sequences for applying a “walking-ones” algorithm on one or more memories.
The uvm_default_report_server will record messages into the message database, using one transaction per message, and one stream per report object/handler pair.
virtual function void mid_do(
    uvm_sequence_item  this_item
)
This function is a user-definable callback function that is called after the sequence item has been randomized, and just before the item is sent to the driver.
bit [63:0] min_offset
Minimum address offset in the managed address space
int unsigned min_random_count=10
Sets the minimum number of items to execute.
Returns the minimum number of implementation ports that must be connected to this port by the end_of_elaboration phase.
virtual task mirror(
    output  uvm_status_e  status,   
    input  uvm_check_e  check  =  UVM_NO_CHECK,
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the register and update/check its mirror value
virtual task mirror(
    output  uvm_status_e  status,   
    input  uvm_check_e  check  =  UVM_NO_CHECK,
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Update the mirrored values
virtual task mirror(
    output  uvm_status_e  status,   
    input  uvm_check_e  check  =  UVM_NO_CHECK,
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the field and update/check its mirror value
Reads the next value out of the DUT FIFO.
virtual task mirror_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    input  uvm_check_e  check  =  UVM_NO_CHECK,
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Mirrors the given register rg using uvm_reg::mirror, supplying ‘this’ as the parent argument.
string miscompares = ""
This string is reset to an empty string when a comparison is started.
rand uvm_mem_mam::alloc_mode_e mode
Region allocation mode
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested
uvm_reg_block model
Block abstraction this sequence executes on, defined only when this sequence is a user-defined test sequence.
diverge from the specification that was used to create it.