C | |
Callback Hooks | |
Callback Interface | |
Callback Macros | |
callback_mode | |
Callbacks | |
Callbacks Classes | |
can_get | |
can_peek | |
can_put | |
cancel | |
capacity | |
catch | |
CB | |
Change Message State | |
check_config_usage | |
check_data_width | |
check_phase | |
check_type | |
Classes for Adapting Between Register and Bus Operations | |
clear | |
clear_extension | |
clear_extensions | |
clear_hdl_path | |
clear_response_queue | |
clone | |
close | |
close_db | |
Code migration script | |
Command Line Debug | |
Command Line Processor Class | |
Comparators | |
compare | |
compare_field | |
compare_field_int | |
compare_field_real | |
compare_object | |
compare_string | |
Comparing | |
compose_report_message | |
Conditional Compilation | |
Configuration | |
Configuration and Resource Classes | |
Configuration API | |
Configuration Interface | |
configure | |
configure_phase | |
connect | |
Connect | |
connect_phase | |
Construction | |
Container Classes | |
Convenience Methods | |
Convenience Write/ Read API | |
convert2string | |
copy | |
Copying | |
Core Base Classes | |
Coverage | |
create | |
create_component | |
create_component_by_name | |
create_component_by_type | |
create_item | |
create_map | |
create_object | |
create_object_by_name | |
create_object_by_type | |
Creation | |
Current Message State | |
current_grabber | |
D | |
data | |
Data Access Policies | |
Database API | |
Debug | |
debug_connected_to | |
debug_create_by_name | |
debug_create_by_type | |
debug_provided_to | |
dec_radix | |
decode | |
decr | |
Default Policy Classes | |
default_alloc | |
default_map | |
default_path | |
default_precedence | |
default_radix | |
define_access | |
define_domain | |
delete | |
delete_by_name | |
delete_callback | |
delete_elements | |
depth | |
die | |
disable_auto_item_recording | |
disable_recording | |
display | |
display_objections | |
do_accept_tr | |
do_begin_tr | |
do_block | |
do_bus_read | |
do_bus_write | |
do_close | |
do_close_db | |
do_compare | |
do_copy | |
do_end_tr | |
do_establish_link | |
do_free | |
do_get_lhs | |
do_get_rhs | |
do_kill | |
do_not_randomize | |
do_open | |
do_open_db | |
do_open_recorder | |
do_open_stream | |
do_pack | |
do_post_read | |
do_post_write | |
do_pre_read | |
do_pre_write | |
do_predict | |
do_print | |
do_read | |
do_record | |
do_record_field | |
do_record_field_int | |
do_record_field_real | |
do_record_generic | |
do_record_object | |
do_record_string | |
do_record_time | |
do_reg_item | |
do_set_lhs | |
do_set_rhs | |
do_unpack | |
do_write | |
drop_objection | |
dropped | |
dump | |
E | |
element | |
element_kind | |
emit | |
enable_print_topology | |
enable_recording | |
enable_report_id_count_summary | |
encode | |
end_elements | |
end_event | |
end_of_elaboration_phase | |
end_offset | |
end_prematurely | |
END_REQ | |
END_RESP | |
end_tr | |
end_v | |
Enumerations | |
establish_link | |
events | |
Example | |
exec_func | |
exec_task | |
execute | |
execute_item | |
execute_report_message | |
exists | |
Explicit Register Predictor | |
extension | |
Extensions Mechanism | |
extract_phase |
Enable/disable callbacks (modeled like rand_mode and constraint_mode).
function bit callback_mode( int on = -1 )
Returns 1 if a new transaction can be provided immediately upon request, 0 otherwise.
virtual function bit can_get()
Returns 1 if a new transaction is available; 0 otherwise.
virtual function bit can_peek()
Returns 1 if the component is ready to accept the transaction; 0 otherwise.
virtual function bit can_put()
Decrements the waiter count by one.
virtual function void cancel ()
Decrements the number of waiters on the event.
virtual function void cancel ()
The maximum number of entries, or depth, of the FIFO.
function int unsigned capacity()
This is the method that is called for each registered report catcher.
pure virtual function action_e catch()
Check all configuration settings in a components configuration table to determine if the setting has been used, overridden or not used.
function void check_config_usage ( bit recurse = 1 )
Check that the specified data width (in bits) is less than or equal to the value of `UVM_REG_DATA_WIDTH
protected static function bit check_data_width( int unsigned width )
The uvm_check_phase phase implementation method.
virtual function void check_phase( uvm_phase phase )
Checks that no pending register transactions are still queued.
virtual function void check_phase( uvm_phase phase )
This bit determines whether the type, given by uvm_object::get_type_name, is used to verify that the types of two objects are the same.
bit check_type = 1
Immediately clears the objection state.
virtual function void clear( uvm_object obj = null )
Remove the instance-specific extension bound under the specified key.
function void clear_extension( uvm_tlm_extension_base ext_handle )
Remove all instance-specific extensions
function void clear_extensions()
Delete HDL paths
function void clear_hdl_path ( string kind = "RTL" )
Delete HDL paths
function void clear_hdl_path ( string kind = "RTL" )
Delete HDL paths
function void clear_hdl_path ( string kind = "RTL" )
Delete HDL paths
function void clear_hdl_path ( string kind = "RTL" )
Empties the response queue for this sequence.
virtual function void clear_response_queue()
The clone method creates and returns an exact copy of this object.
virtual function uvm_object clone ()
Closes this recorder.
function void close( time close_time = 0 )
Closes this stream.
function void close()
Closes the backend connection to the database.
function bit close_db()
Deep compares members of this data object with those of the object provided in the rhs (right-hand side) argument, returning 1 on a match, 0 otherwise.
function bit compare ( uvm_object rhs, uvm_comparer comparer = null )
Compares two integral values.
virtual function bit compare_field ( string name, uvm_bitstream_t lhs, uvm_bitstream_t rhs, int size, uvm_radix_enum radix = UVM_NORADIX )
This method is the same as compare_field except that the arguments are small integers, less than or equal to 64 bits.
virtual function bit compare_field_int ( string name, uvm_integral_t lhs, uvm_integral_t rhs, int size, uvm_radix_enum radix = UVM_NORADIX )
This method is the same as compare_field except that the arguments are real numbers.
virtual function bit compare_field_real ( string name, real lhs, real rhs )
Compares two class objects using the policy knob to determine whether the comparison should be deep, shallow, or reference.
virtual function bit compare_object ( string name, uvm_object lhs, uvm_object rhs )
Compares two string variables.
virtual function bit compare_string ( string name, string lhs, string rhs )
Constructs the actual string sent to the file or command line from the severity, component name, report id, and the message itself.
virtual function string compose_report_message( uvm_report_message report_message, string report_object_name = "" )
Constructs the actual string sent to the file or command line from the severity, component name, report id, and the message itself.
pure virtual function string compose_report_message( uvm_report_message report_message, string report_object_name = "" )
Instance-specific configuration
function void configure ( uvm_reg_block parent, string hdl_path = "" )
Instance-specific configuration
function void configure ( uvm_reg_block blk_parent, uvm_reg_file regfile_parent = null, string hdl_path = "" )
Instance-specific configuration
function void configure( uvm_reg_block parent = null, string hdl_path = "" )
Instance-specific configuration
function void configure( uvm_reg parent, int unsigned size, int unsigned lsb_pos, string access, bit volatile, uvm_reg_data_t reset, bit has_reset, bit is_rand, bit individually_accessible )
Configure a register file instance
function void configure ( uvm_reg_block blk_parent, uvm_reg_file regfile_parent, string hdl_path = "" )
Configure the indirect data register.
function void configure ( uvm_reg idx, uvm_reg reg_a[], uvm_reg_block blk_parent, uvm_reg_file regfile_parent = null )
Instance-specific configuration
function void configure( uvm_reg_block parent, uvm_reg_addr_t base_addr, int unsigned n_bytes, uvm_endianness_e endian, bit byte_addressing = 1 )
Instance-specific configuration
function void configure( uvm_reg_block parent, uvm_mem mem = null, longint unsigned size = 0, uvm_reg_addr_t offset = 0, int unsigned incr = 0 )
Instance-specific configuration
function void configure( uvm_vreg parent, int unsigned size, int unsigned lsb_pos )
The uvm_configure_phase phase implementation method.
virtual task configure_phase( uvm_phase phase )
Connects this port to the given provider port.
virtual function void connect ( this_type provider )
Connect this socket to the specified uvm_tlm_nb_initiator_socket
function void connect( this_type provider )
Connect this socket to the specified uvm_tlm_nb_initiator_socket
function void connect( this_type provider )
The uvm_connect_phase phase implementation method.
virtual function void connect_phase( uvm_phase phase )
Image of the state of the manager
function string convert2string()
This virtual function is a user-definable hook, called directly by the user, that allows users to provide object information in the form of a string.
virtual function string convert2string()
Returns a string showing the contents of this transaction.
virtual function string convert2string()
The copy makes this object a copy of the specified object.
function void copy ( uvm_object rhs )
Returns an instance of the component type, T, represented by this proxy, subject to any factory overrides based on the context provided by the parent’s full name.
static function T create( string name, uvm_component parent, string contxt = "" )
The create method allocates a new object of the same type as this object and returns it via a base uvm_object handle.
virtual function uvm_object create ( string name = "" )
Returns an instance of the object type, T, represented by this proxy, subject to any factory overrides based on the context provided by the parent’s full name.
static function T create ( string name = "", uvm_component parent = null, string contxt = "" )
virtual function uvm_object create ( string name = "" )
A convenience function for uvm_factory::create_component_by_name, this method calls upon the factory to create a new child component whose type corresponds to the preregistered type name, requested_type_name, and instance name, name.
function uvm_component create_component ( string requested_type_name, string name )
Creates a component of type T having the provided name and parent.
virtual function uvm_component create_component ( string name, uvm_component parent )
Creates a new component, passing to its constructor the given name and parent.
virtual function uvm_component create_component ( string name, uvm_component parent )
Creates and returns a component or object of the requested type, which may be specified by type or by name.
virtual function uvm_component create_component_by_name ( string requested_type_name, string parent_inst_path = "", string name, uvm_component parent )
Creates and returns a component or object of the requested type, which may be specified by type or by name.
pure virtual function uvm_component create_component_by_name ( string requested_type_name, string parent_inst_path = "", string name, uvm_component parent )
virtual function uvm_component create_component_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name, uvm_component parent )
pure virtual function uvm_component create_component_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name, uvm_component parent )
Create_item will create and initialize a sequence_item or sequence using the factory.
protected function uvm_sequence_item create_item( uvm_object_wrapper type_var, uvm_sequencer_base l_sequencer, string name )
Create an address map in this block
virtual function uvm_reg_map create_map( string name, uvm_reg_addr_t base_addr, int unsigned n_bytes, uvm_endianness_e endian, bit byte_addressing = 1 )
A convenience function for uvm_factory::create_object_by_name, this method calls upon the factory to create a new object whose type corresponds to the preregistered type name, requested_type_name, and instance name, name.
function uvm_object create_object ( string requested_type_name, string name = "" )
Creates an object of type T and returns it as a handle to a uvm_object.
virtual function uvm_object create_object( string name = "" )
Creates a new object with the optional name.
virtual function uvm_object create_object ( string name = "" )
virtual function uvm_object create_object_by_name ( string requested_type_name, string parent_inst_path = "", string name = "" )
pure virtual function uvm_object create_object_by_name ( string requested_type_name, string parent_inst_path = "", string name = "" )
virtual function uvm_object create_object_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name = "" )
pure virtual function uvm_object create_object_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name = "" )
Returns a reference to the sequence that currently has a lock or grab on the sequence.
virtual function uvm_sequence_base current_grabber()
The data to write.
uvm_reg_data_t data
The debug_connected_to method outputs a visual text display of the port/export/imp network to which this port connects (i.e., the port’s fanout).
function void debug_connected_to ( int level = 0, int max_level = -1 )
These methods perform the same search algorithm as the create_* methods, but they do not create new objects.
virtual function void debug_create_by_name ( string requested_type_name, string parent_inst_path = "", string name = "" )
These methods perform the same search algorithm as the create_* methods, but they do not create new objects.
pure virtual function void debug_create_by_name ( string requested_type_name, string parent_inst_path = "", string name = "" )
virtual function void debug_create_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name = "" )
pure virtual function void debug_create_by_type ( uvm_object_wrapper requested_type, string parent_inst_path = "", string name = "" )
The debug_provided_to method outputs a visual display of the port/export network that ultimately connect to this port (i.e., the port’s fanin).
function void debug_provided_to ( int level = 0, int max_level = -1 )
This string should be prepended to the value of an integral type when a radix of UVM_DEC is used for the radix of the integral object.
string dec_radix = "'d"
Data decode
virtual function void decode( ref uvm_reg_data_t data[] )
Decrement the time value by the specified number of scaled time unit
function void decr( real t, time scaled, real secs )
Region allocation policy
uvm_mem_mam_policy default_alloc
Default address map
uvm_reg_map default_map
Default access path for the registers and memories in this block.
uvm_path_e default_path = UVM_DEFAULT_PATH
The default precedence for an resource that has been created.
static int unsigned default_precedence = 1000
This knob sets the default radix to use for integral values when no radix enum is explicitly supplied to the uvm_printer::print_field or uvm_printer::print_field_int methods.
uvm_radix_enum default_radix = UVM_HEX
This is the default radix setting if record_field is called without a radix.
uvm_radix_enum default_radix = UVM_HEX
Define a new access policy value
static function bit define_access( string name )
Builds custom phase schedules into the provided domain handle.
virtual protected function void define_domain( uvm_domain domain )
Deletes the given callback object, cb, from the queue associated with the given obj handle.
static function void delete( T obj, uvm_callback cb )
Removes the item with the given string key from the pool.
virtual function void delete ( string key )
Removes the item with the given key from the pool.
virtual function void delete ( KEY key )
Removes the item at the given index from the queue; if index is not provided, the entire contents of the queue are deleted.
virtual function void delete ( int index = -1 )
Delete the index-th element in the container
virtual function void delete( int index )
Removes the given callback object, cb, associated with one or more uvm_component callback queues.
static function void delete_by_name( string name, uvm_callback cb, uvm_component root )
Unregisters the given callback, cb, from this event.
virtual function void delete_callback ( uvm_event_callback#(T) cb )
Delete all the elements in the container
virtual function void delete_elements()
Indicates how deep to recurse when printing objects.
int depth = -1
This method is called by the report server if a report reaches the maximum quit count or has a UVM_EXIT action associated with it, e.g., as with fatal errors.
virtual function void die()
By default, item recording is performed automatically when get_next_item() and item_done() are called.
virtual function void disable_auto_item_recording()
Turns off recording for the transaction stream.
function void disable_recording ()
This function displays callback information for obj.
static function void display( T obj = null )
Displays objection information about the given object.
function void display_objections( uvm_object obj = null, bit show_header = 1 )
The accept_tr method calls this function to accommodate any user-defined post-accept action.
virtual protected function void do_accept_tr ( uvm_transaction tr )
This user-definable callback is called by accept_tr just before the accept event is triggered.
virtual protected function void do_accept_tr ()
The begin_tr and begin_child_tr methods call this function to accommodate any user-defined post-begin action.
virtual protected function void do_begin_tr ( uvm_transaction tr, string stream_name, integer tr_handle )
This user-definable callback is called by begin_tr and begin_child_tr just before the begin event is triggered.
virtual protected function void do_begin_tr ()
Test all of the memories in a given block
protected virtual task do_block( uvm_reg_block blk )
Test all of the memories in a given block
protected virtual task do_block( uvm_reg_block blk )
Test all of the registers in a block
protected virtual task do_block( uvm_reg_block blk )
Test all of the registers in a given block
protected virtual task do_block( uvm_reg_block blk )
Test all of the registers in a given block
protected virtual task do_block( uvm_reg_block blk )
Test all of the registers and memories in a block
protected virtual task do_block( uvm_reg_block blk )
Perform a bus read operation.
virtual task do_bus_read ( uvm_reg_item rw, uvm_sequencer_base sequencer, uvm_reg_adapter adapter )
Perform a bus write operation.
virtual task do_bus_write ( uvm_reg_item rw, uvm_sequencer_base sequencer, uvm_reg_adapter adapter )
Callback triggered via close.
protected virtual function void do_close( time close_time )
Callback triggered via uvm_recorder::close.
protected virtual function void do_close( time close_time )
Callback triggered via uvm_tr_stream::close.
protected virtual function void do_close()
Callback triggered via close.
protected virtual function void do_close()
Close the backend connection to the database.
protected virtual function bit do_close_db()
Backend implementation of close_db
pure virtual protected function bit do_close_db()
The do_compare method is the user-definable hook called by the compare method.
virtual function bit do_compare ( uvm_object rhs, uvm_comparer comparer )
The do_copy method is the user-definable hook called by the copy method.
virtual function void do_copy ( uvm_object rhs )
Copy the rhs object into this object.
virtual function void do_copy( uvm_object rhs )
copies all message statistic severity,id counts to the destination uvm_report_server the copy is cummulative (only items from the source are transferred, already existing entries are not deleted, existing entries/counts are overridden when they exist in the source set)
function void do_copy ( uvm_object rhs )
The end_tr method calls this function to accommodate any user-defined post-end action.
virtual protected function void do_end_tr ( uvm_transaction tr, integer tr_handle )
This user-definable callback is called by end_tr just before the end event is triggered.
virtual protected function void do_end_tr ()
Establishes a link between two elements in the database
protected virtual function void do_establish_link( uvm_link_base link )
Backend implementation of establish_link
pure virtual protected function void do_establish_link( uvm_link_base link )
Callback triggered via free.
protected virtual function void do_free()
Callback triggered via uvm_recorder::free.
protected virtual function void do_free()
Callback triggered via uvm_tr_stream::free.
protected virtual function void do_free()
Callback triggered via free.
protected virtual function void do_free()
Retrieves the left-hand-side (Cause)
virtual function uvm_object do_get_lhs()
Callback for retrieving the left-hand-side
pure virtual function uvm_object do_get_lhs()
Retrieves the left-hand-side (Parent)
virtual function uvm_object do_get_lhs()
Retrieves the left-hand-side
virtual function uvm_object do_get_lhs()
Retrieves the right-hand-side (Effect)
virtual function uvm_object do_get_rhs()
Callback for retrieving the right-hand-side
pure virtual function uvm_object do_get_rhs()
Retrieves the right-hand-side (Child)
virtual function uvm_object do_get_rhs()
Retrieves the right-hand-side
virtual function uvm_object do_get_rhs()
This function is a user hook that is called whenever a sequence is terminated by using either sequence.kill() or sequencer.stop_sequences() (which effectively calls sequence.kill()).
virtual function void do_kill()
If set, prevents the sequence from being randomized before being executed by the `uvm_do*() and `uvm_rand_send*() macros, or as a default sequence.
bit do_not_randomize
Callback triggered via uvm_tr_stream::open_recorder.
protected virtual function void do_open( uvm_tr_stream stream, time open_time, string type_name )
Callback triggered via uvm_tr_stream::open_recorder.
protected virtual function void do_open( uvm_tr_stream stream, time open_time, string type_name )
Callback triggered via uvm_tr_database::open_stream.
protected virtual function void do_open( uvm_tr_database db, string scope, string stream_type_name )
Callback triggered via uvm_tr_database::open_stream.
protected virtual function void do_open( uvm_tr_database db, string scope, string stream_type_name )
Open the backend connection to the database.
protected virtual function bit do_open_db()
Backend implementation of open_db
pure virtual protected function bit do_open_db()
Marks the beginning of a new record in the stream
protected virtual function uvm_recorder do_open_recorder( string name, time open_time, string type_name )
Marks the beginning of a new record in the stream.
protected virtual function uvm_recorder do_open_recorder( string name, time open_time, string type_name )
Provides a reference to a stream within the database.
protected virtual function uvm_tr_stream do_open_stream( string name, string scope, string type_name )
Backend implementation of open_stream
pure virtual protected function uvm_tr_stream do_open_stream( string name, string scope, string type_name )
The do_pack method is the user-definable hook called by the pack methods.
virtual function void do_pack ( uvm_packer packer )
Execute the post-read callbacks
protected task do_post_read( uvm_reg_item rw )
Execute the post-write callbacks
protected task do_post_write( uvm_reg_item rw )
Execute the pre-read callbacks
protected task do_pre_read( uvm_reg_item rw )
Execute the pre-write callbacks
protected task do_pre_write( uvm_reg_item rw )
Updates the abstract (mirror) FIFO based on write() and read() operations.
virtual function void do_predict( uvm_reg_item rw, uvm_predict_e kind = UVM_PREDICT_DIRECT, uvm_reg_byte_en_t be = -1 )
The do_print method is the user-definable hook called by print and sprint that allows users to customize what gets printed or sprinted beyond the field information provided by the `uvm_field_* macros, Utility and Field Macros for Components and Objects.
virtual function void do_print ( uvm_printer printer )
Implementation of do_print which is called by print().
function void do_print ( uvm_printer printer )
Perform a read operation.
virtual task do_read( uvm_reg_item rw )
The do_record method is the user-definable hook called by the record method.
virtual function void do_record ( uvm_recorder recorder )
Records an integral field (less than or equal to 4096 bits).
pure virtual protected function void do_record_field( string name, uvm_bitstream_t value, int size, uvm_radix_enum radix )
Records an integral field (less than or equal to 4096 bits).
protected virtual function void do_record_field( string name, uvm_bitstream_t value, int size, uvm_radix_enum radix )
Records an integral field (less than or equal to 64 bits).
pure virtual protected function void do_record_field_int( string name, uvm_integral_t value, int size, uvm_radix_enum radix )
Records an integral field (less than or equal to 64 bits).
protected virtual function void do_record_field_int( string name, uvm_integral_t value, int size, uvm_radix_enum radix )
Records a real field.
pure virtual protected function void do_record_field_real( string name, real value )
Record a real field.
protected virtual function void do_record_field_real( string name, real value )
Records a name/value pair, where value has been converted to a string.
pure virtual protected function void do_record_generic( string name, string value, string type_name )
Records a name/value pair, where value has been converted to a string.
protected virtual function void do_record_generic( string name, string value, string type_name )
Records an object field.
pure virtual protected function void do_record_object( string name, uvm_object value )
Record an object field.
protected virtual function void do_record_object( string name, uvm_object value )
Records a string field.
pure virtual protected function void do_record_string( string name, string value )
Records a string field.
protected virtual function void do_record_string( string name, string value )
Records a time field.
pure virtual protected function void do_record_time( string name, time value )
Records a time field.
protected virtual function void do_record_time( string name, time value )
Executes the given register transaction, rw, via the sequencer on which this sequence was started (i.e.
virtual task do_reg_item( uvm_reg_item rw )
Sets the left-hand-side (Cause)
virtual function void do_set_lhs( uvm_object lhs )
Callback for setting the left-hand-side
pure virtual function void do_set_lhs( uvm_object lhs )
Sets the left-hand-side (Parent)
virtual function void do_set_lhs( uvm_object lhs )
Sets the left-hand-side
virtual function void do_set_lhs( uvm_object lhs )
Sets the right-hand-side (Effect)
virtual function void do_set_rhs( uvm_object rhs )
Callback for setting the right-hand-side
pure virtual function void do_set_rhs( uvm_object rhs )
Sets the right-hand-side (Child)
virtual function void do_set_rhs( uvm_object rhs )
Sets the right-hand-side
virtual function void do_set_rhs( uvm_object rhs )
The do_unpack method is the user-definable hook called by the unpack method.
virtual function void do_unpack ( uvm_packer packer )
Perform a write operation.
virtual task do_write( uvm_reg_item rw )
Drops the number of objections for the source object by count, which defaults to 1.
virtual function void drop_objection ( uvm_object obj = null, string description = "", int count = 1 )
Drop an objection to ending this phase
virtual function void drop_objection ( uvm_object obj, string description = "", int count = 1 )
The dropped callback is called when this or a descendant of this component instance drops the specified objection.
virtual function void dropped ( uvm_objection objection, uvm_object source_obj, string description, int count )
Objection callback that is called when a drop_objection has reached obj.
virtual function void dropped ( uvm_object obj, uvm_object source_obj, string description, int count )
Objection dropped callback function.
virtual function void dropped ( uvm_objection objection, uvm_object obj, uvm_object source_obj, string description, int count )
Dump all the resources in the resource pool.
static function void dump()
dump the entire resource pool.
function void dump( bit audit = 0 )
A handle to the RegModel model element associated with this transaction.
uvm_object element
Kind of element being accessed: REG, MEM, or FIELD.
uvm_elem_kind_e element_kind
Emits a string representing the contents of an object in a format defined by an extension of this object.
virtual function string emit ()
Formats the collected information from prior calls to print_* into table format.
virtual function string emit()
Formats the collected information from prior calls to print_* into hierarchical tree format.
virtual function string emit()
If set, then the entire testbench topology is printed just after completion of the end_of_elaboration phase.
bit enable_print_topology = 0
Turns on recording to the stream specified.
function void enable_recording ( uvm_tr_stream stream )
A flag to enable report count summary for each ID
bit enable_report_id_count_summary=1
Data encoder
virtual function void encode( ref uvm_reg_data_t data[] )
This defines the number of elements at the end of a list that should be printed.
int end_elements = 5
A uvm_event#(uvm_object) that is triggered when this transaction’s actual execution on the bus ends, typically as a result of a driver calling uvm_component::end_tr.
uvm_event#( uvm_object ) end_event
The uvm_end_of_elaboration_phase phase implementation method.
virtual function void end_of_elaboration_phase( uvm_phase phase )
Last address of managed space
rand bit [63:0] end_offset
Set a flag to cause the phase to end prematurely.
function void end_prematurely()
This function marks the end of a transaction, tr, by this component.
function void end_tr ( uvm_transaction tr, time end_time = 0, bit free_handle = 1 )
This function indicates that the transaction execution has ended.
function void end_tr ( time end_time = 0, bit free_handle = 1 )
This method will be invoked by the visitor after the last NODE is visited
virtual function void end_v()
Establishes a link between two elements in the database
function void establish_link( uvm_link_base link )
The event pool instance for this transaction.
const uvm_event_pool events = new
Implements the functor/delegate functionality for a function phase type comp - the component to execute the functionality upon phase - the phase schedule that originated this phase call
virtual function void exec_func( uvm_component comp, uvm_phase phase )
Implements the functor/delegate functionality for a task phase type comp - the component to execute the functionality upon phase - the phase schedule that originated this phase call
virtual task exec_task( uvm_component comp, uvm_phase phase )
Executes the bottom-up phase phase for the component comp.
virtual function void execute( uvm_component comp, uvm_phase phase )
Fork the task-based phase phase for the component comp.
virtual function void execute( uvm_component comp, uvm_phase phase )
Executes the top-down phase phase for the component comp.
virtual function void execute( uvm_component comp, uvm_phase phase )
Executes the given transaction item directly on this sequencer.
virtual task execute_item( uvm_sequence_item item )
Processes the provided message per the actions contained within.
virtual function void execute_report_message( uvm_report_message report_message, string composed_message )
Processes the provided message per the actions contained within.
pure virtual function void execute_report_message( uvm_report_message report_message, string composed_message )
Check if a value for field_name is available in inst_name, using component cntxt as the starting search point.
static function bit exists( uvm_component cntxt, string inst_name, string field_name, bit spell_chk = )
Returns 1 if an item with the given key exists in the pool, 0 otherwise.
virtual function int exists ( KEY key )
Handle to optional user data, as conveyed in the call to write(), read(), mirror(), or update() used to trigger the operation.
rand uvm_object extension
The uvm_extract_phase phase implementation method.
virtual function void extract_phase( uvm_phase phase )