Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
N
 n_bits
 n_bytes
 nb_transport
 nb_transport_bw
 nb_transport_fw
 needs_update
 new
uvm_*_export#(REQ,RSP)uvm_*_export#(T)uvm_*_imp#(REQ,RSP,IMP,REQ_IMP,RSP_IMP)uvm_*_imp#(T,IMP)uvm_*_port#(REQ,RSP)uvm_*_port#(T)uvm_agentuvm_algorithmic_comparator#(BEFORE,AFTER,TRANSFORMER)uvm_analysis_exportuvm_barrieruvm_bottomup_phaseuvm_built_in_pair#(T1,T2)uvm_callbackuvm_callback_iteruvm_cause_effect_linkuvm_class_pair#(T1,T2)uvm_componentuvm_default_report_serveruvm_domainuvm_driver#(REQ,RSP)uvm_envuvm_event#(T)uvm_event_baseuvm_event_callbackuvm_get_to_lock_dapuvm_heartbeatuvm_line_printeruvm_link_baseuvm_memuvm_mem_mamuvm_mem_single_walk_sequvm_monitoruvm_objectuvm_object_string_pool#(T)uvm_objectionuvm_parent_child_linkuvm_phaseuvm_phase_cbuvm_pool#(KEY,T)uvm_port_base#(IF)uvm_push_driver#(REQ,RSP)uvm_push_sequencer#(REQ,RSP)uvm_queue#(T)uvm_random_stimulus#(T)uvm_reguvm_reg_adapteruvm_reg_backdooruvm_reg_blockuvm_reg_fielduvm_reg_fifouvm_reg_fileuvm_reg_frontdooruvm_reg_indirect_datauvm_reg_itemuvm_reg_mapuvm_reg_predictoruvm_reg_sequenceuvm_related_linkuvm_report_catcheruvm_report_handleruvm_report_messageuvm_report_message_element_containeruvm_report_objectuvm_resource_baseuvm_scoreboarduvm_seq_item_pull_imp#(REQ,RSP,IMP)uvm_sequence#(REQ,RSP)uvm_sequence_baseuvm_sequence_itemuvm_sequence_libraryuvm_sequencer#(REQ,RSP)uvm_sequencer_baseuvm_sequencer_param_base#(REQ,RSP)uvm_set_before_get_dapuvm_set_get_dap_baseuvm_simple_lock_dapuvm_subscriberuvm_table_printeruvm_task_phaseuvm_testuvm_text_recorderuvm_text_tr_databaseuvm_text_tr_streamuvm_tlm_analysis_fifo#(T)uvm_tlm_b_initiator_socketuvm_tlm_b_target_socketuvm_tlm_extensionuvm_tlm_extension_baseuvm_tlm_fifo#(T)uvm_tlm_fifo_base#(T)uvm_tlm_generic_payloaduvm_tlm_nb_initiator_socketuvm_tlm_nb_target_socketuvm_tlm_nb_transport_bw_exportuvm_tlm_nb_transport_bw_portuvm_tlm_req_rsp_channel#(REQ,RSP)uvm_tlm_timeuvm_tlm_transport_channel#(REQ,RSP)uvm_topdown_phaseuvm_tr_databaseuvm_tr_streamuvm_transactionuvm_tree_printeruvm_vreguvm_vreg_field
 new_report_message
 next
 Non-blocking get
 Non-blocking peek
 Non-blocking put
 Non-blocking transport
 Notification
 num
O
 Objection Control
 Objection Interface
 Objection Mechanism
 Objection Status
 Objections
 oct_radix
 offset
 open_db
 open_recorder
 open_stream
 order
 Override Configuration
int n_bits
The number of bits of uvm_reg_item::value being transferred by this transaction.
rand int unsigned n_bytes
Number of bytes in each memory location
virtual function bit nb_transport(
    input  T1  req,
    output  T2  rsp
)
Executes the given request and returns the response in the given output argument.
virtual function uvm_tlm_sync_e nb_transport_bw(
    t,
    ref  p,
    input  uvm_tlm_time  delay
)
Implementation of the backward path.
virtual function uvm_tlm_sync_e nb_transport_fw(
    t,
    ref  p,
    input  uvm_tlm_time  delay
)
Forward path call.
virtual function bit needs_update()
Returns 1 if any of the fields need updating
virtual function bit needs_update()
Check if DUT registers need to be written
virtual function bit needs_update()
Check if the abstract model contains different desired and mirrored values.
The name and parent are the standard uvm_component constructor arguments.
The name and parent are the standard uvm_component constructor arguments.
Creates a new bidirectional imp port with the given name and parent.
Creates a new unidirectional imp port with the given name and parent.
The name and parent are the standard uvm_component constructor arguments.
The name and parent are the standard uvm_component constructor arguments.
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new(
    string  name,   
    uvm_component  parent  =  null,
    TRANSFORMER  transformer  =  null
)
Creates an instance of a specialization of this class.
function new (
    string  name,   
    uvm_component  parent  =  null
)
Instantiate the export.
function new (
    string  name  =  "",
    int  threshold  =  0
)
Creates a new barrier object.
function new(
    string  name
)
Create a new instance of a bottom-up phase.
function new (
    string  name  =  ""
)
Creates an instance that holds two built-in type values.
function new(
    string  name  =  "uvm_callback"
)
Creates a new uvm_callback object, giving it an optional name.
function new(
    obj
)
Creates a new callback iterator object.
function new(
    string  name  =  "unnamed-uvm_cause_effect_link"
)
Constructor
function new (
    string  name  =  "",
    T1  f  =  null,
    T2  s  =  null
)
Creates an instance that holds a handle to two objects.
function new (
    string  name,
    uvm_component  parent
)
Creates a new component with the given leaf instance name and handle to its parent.
function new(
    string  name  =  "uvm_report_server"
)
Creates an instance of the class.
function new(
    string  name
)
Create a new instance of a phase domain.
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new (
    string  name  =  "env",
    uvm_component  parent  =  null
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new (
    string  name  =  ""
)
Creates a new event object.
function new (
    string  name  =  ""
)
Creates a new event object.
function new (
    string  name  =  ""
)
Creates a new callback object.
function new(
    string  name  =  "unnamed-uvm_get_to_lock_dap#(T)"
)
Constructor
function new(
    string  name,   
    uvm_component  cntxt,   
    uvm_objection  objection  =  null
)
Creates a new heartbeat instance associated with cntxt.
function new()
Creates a new instance of uvm_line_printer.
function new(
    string  name  =  "unnamed-uvm_link_base"
)
Constructor
function new (
    string  name,   
    longint  unsigned  size,   
    int  unsigned  n_bits,   
    string  access  =  "RW",
    int  has_coverage  =  UVM_NO_COVERAGE
)
Create a new instance and type-specific configuration
function new(
    string  name,   
    uvm_mem_mam_cfg  cfg,   
    uvm_mem  mem  =  null
)
Create a new manager instance
function new(
    string  name  =  "uvm_mem_walk_seq"
)
Creates a new instance of the class with the given name.
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new (
    string  name  =  ""
)
Creates a new uvm_object with the given instance name.
function new (
    string  name  =  ""
)
Creates a new pool with the given name.
function new(
    string  name  =  ""
)
Creates a new objection instance.
function new(
    string  name  =  "unnamed-uvm_parent_child_link"
)
Constructor
function new(
    string  name  =  "uvm_phase",
    uvm_phase_type  phase_type  =  UVM_PHASE_SCHEDULE,
    uvm_phase  parent  =  null
)
Create a new phase node, with a name and a note of its type name - name of this phase type - a value in uvm_phase_type
function new(
    string  name  =  "unnamed-uvm_phase_cb"
)
Constructor
function new (
    string  name  =  ""
)
Creates a new pool with the given name.
function new (
    string  name,   
    uvm_component  parent,   
    uvm_port_type_e  port_type,   
    int  min_size  =  0,
    int  max_size  =  1
)
The first two arguments are the normal uvm_component constructor arguments.
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new (
    string  name,   
    uvm_component  parent  =  null
)
Standard component constructor that creates an instance of this class using the given name and parent, if any.
function new (
    string  name  =  ""
)
Creates a new queue with the given name.
function new(
    string  name,
    uvm_component  parent
)
Creates a new instance of a specialization of this class.
function new (
    string  name  =  "",
    int  unsigned  n_bits,   
    int  has_coverage   
)
Create a new instance and type-specific configuration
function new(
    string  name  =  ""
)
Create a new instance of this type, giving it the optional name.
function new(
    string  name  =  ""
)
Create an instance of this class
function new(
    string  name  =  "",
    int  has_coverage  =  UVM_NO_COVERAGE
)
Create a new instance and type-specific configuration
function new(
    string  name  =  "uvm_reg_field"
)
Create a new field instance
function new(
    string  name  =  "reg_fifo",
    int  unsigned  size,   
    int  unsigned  n_bits,   
    int  has_cover   
)
Creates an instance of a FIFO register having size elements of n_bits each.
function new (
    string  name  =  ""
)
Create a new instance
function new(
    string  name  =  ""
)
Constructor, new object given optional name.
function new(
    string  name  =  "uvm_reg_indirect",
    int  unsigned  n_bits,   
    int  has_cover   
)
Create an instance of this class
function new(
    string  name  =  ""
)
Create a new instance of this type, giving it the optional name.
function new(
    string  name  =  "uvm_reg_map"
)
Create a new instance
function new (
    string  name,
    uvm_component  parent
)
Create a new instance of this type, giving it the optional name and parent.
function new (
    string  name  =  "uvm_reg_sequence_inst"
)
Create a new instance, giving it the optional name.
function new(
    string  name  =  "unnamed-uvm_related_link"
)
Constructor
function new(
    string  name  =  "uvm_report_catcher"
)
Create a new report catcher.
function new(
    string  name  =  "uvm_report_handler"
)
Creates and initializes a new uvm_report_handler object.
function new(
    string  name  =  "uvm_report_message"
)
Creates a new uvm_report_message object.
function new(
    string  name  =  "element_container"
)
Create a new uvm_report_message_element_container object
function new(
    string  name  =  ""
)
Creates a new report object with the given name.
function new(
    string  name  =  "",
    string  s  =  "*"
)
constructor for uvm_resource_base.
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new (
    string  name  =  "uvm_sequence"
)
Creates and initializes a new sequence object.
function new (
    string  name  =  "uvm_sequence"
)
The constructor for uvm_sequence_base.
function new (
    string  name  =  "uvm_sequence_item"
)
The constructor method for uvm_sequence_item.
function new(
    string  name  =  ""
)
Create a new instance of this class
function new (
    string  name,   
    uvm_component  parent  =  null
)
Standard component constructor that creates an instance of this class using the given name and parent, if any.
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent.
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new(
    string  name  =  "unnamed-uvm_set_before_get_dap#(T)"
)
Constructor
function new(
    string  name  =  "unnamed-uvm_set_get_dap_base#(T)"
)
Constructor
function new(
    string  name  =  "unnamed-uvm_simple_lock_dap#(T)"
)
Constructor
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new()
Creates a new instance of uvm_table_printer.
function new(
    string  name
)
Create a new instance of a task-based phase
function new (
    string  name,
    uvm_component  parent
)
Creates and initializes an instance of this class using the normal constructor arguments for uvm_component: name is the name of the instance, and parent is the handle to the hierarchical parent, if any.
function new(
    string  name  =  "unnamed-uvm_text_recorder"
)
Constructor
function new(
    string  name  =  "unnamed-uvm_text_tr_database"
)
Constructor
function new(
    string  name  =  "unnamed-uvm_text_tr_stream"
)
Constructor
function new(
    string  name  ,   
    uvm_component  parent  =  null
)
This is the standard uvm_component constructor.
function new(
    string  name,
    uvm_component  parent
)
Construct a new instance of this socket
function new (
    string  name,   
    uvm_component  parent,   
    IMP  imp  =  null
)
Construct a new instance of this socket imp is a reference to the class implementing the b_transport() method.
function new(
    string  name  =  ""
)
creates a new extension object.
function new(
    string  name  =  ""
)
function new(
    string  name,   
    uvm_component  parent  =  null,
    int  size  =  1
)
The name and parent are the normal uvm_component constructor arguments.
function new(
    string  name,   
    uvm_component  parent  =  null
)
The name and parent are the normal uvm_component constructor arguments.
function new(
    string  name  =  ""
)
Create a new instance of the generic payload.
function new(
    string  name,   
    uvm_component  parent,   
    IMP  imp  =  null
)
Construct a new instance of this socket imp is a reference to the class implementing the nb_transport_bw() method.
function new (
    string  name,   
    uvm_component  parent,   
    IMP  imp  =  null
)
Construct a new instance of this socket imp is a reference to the class implementing the nb_transport_fw() method.
function new (
    string  name,   
    uvm_component  parent  =  null,
    int  request_fifo_size  =  1,
    int  response_fifo_size  =  1
)
The name and parent are the standard uvm_component constructor arguments.
function new(
    string  name  =  "uvm_tlm_time",
    real  res  =  0
)
Create a new canonical time value.
function new (
    string  name,   
    uvm_component  parent  =  null
)
The name and parent are the standard uvm_component constructor arguments.
function new(
    string  name
)
Create a new instance of a top-down phase
function new(
    string  name  =  "unnamed-uvm_tr_database"
)
Constructor
function new(
    string  name  =  "unnamed-uvm_tr_stream"
)
Constructor
function new (
    string  name  =  "",
    uvm_component  initiator  =  null
)
Creates a new transaction object.
function new()
Creates a new instance of uvm_tree_printer.
function new(
    string  name,
    int  unsigned  n_bits
)
Create a new instance and type-specific configuration
function new(
    string  name  =  "uvm_vreg_field"
)
Create a new virtual field instance
static function uvm_report_message new_report_message(
    string  name  =  "uvm_report_message"
)
Creates a new uvm_report_message object.
function CB next()
Returns the next valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int next (
    ref  KEY  key
)
Returns the key of the next item in the pool.
virtual function int num ()
Returns the number of uniquely keyed items stored in the pool.
These methods provide object level hooks into the uvm_objection mechanism.
The following classes define the objection mechanism and end-of-test functionality, which is based on uvm_objection.
string oct_radix = "'o"
This string should be prepended to the value of an integral type when a radix of UVM_OCT is used for the radix of the integral object.
rand uvm_reg_addr_t offset
For memory accesses, the offset address.
function bit open_db()
Open the backend connection to the database.
function uvm_recorder open_recorder(
    string  name,   
    time  open_time  =  0,
    string  type_name  =  ""
)
Marks the opening of a new transaction recorder on the stream.
function uvm_tr_stream open_stream(
    string  name,   
    string  scope  =  "",
    string  type_name  =  ""
)
Provides a reference to a stream within the database.
pure virtual function void order(
    ref  uvm_reg_bus_op  q[$]
)
the order() function may reorder the sequence of bus transactions produced by a single uvm_reg transaction (read/write).