Method Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
P
 pack
 pack_bits
 pack_bytes
 pack_field
 pack_field_int
 pack_ints
 pack_object
 pack_real
 pack_string
 pack_time
 peek
 peek_mem
 peek_reg
 phase_ended
 phase_ready_to_end
 phase_started
 phase_state_change
 poke
 poke_mem
 poke_reg
 pop_back
 pop_front
 post_body
 post_configure_phase
 post_do
 post_main_phase
 post_predict
 post_randomize
 post_read
 post_reset_phase
 post_shutdown_phase
 post_start
 post_trigger
 post_write
 pre_abort
 pre_body
 pre_configure_phase
 pre_do
 pre_main_phase
 pre_predict
 pre_randomize
 pre_read
 pre_reset_phase
 pre_shutdown_phase
 pre_start
 pre_trigger
 pre_write
 predict
 prev
 print
 print_accessors
 print_array_footer
 print_array_header
 print_array_range
 print_catcher
 print_config
 print_config_settings
 print_config_with_audit
 print_field
 print_field_int
 print_generic
 print_msg
 print_object
 print_override_info
 print_real
 print_resources
 print_string
 print_time
 print_topology
 process_report_message
 push_back
 push_front
 put
 put_response
R
 raise_objection
 raised
 read
 read_by_name
 read_by_type
 read_func
 read_mem
 read_mem_by_name
 read_reg
 read_reg_by_name
 reconfigure
 record
 record_error_tr
 record_event_tr
 record_field
 record_field_int
 record_field_real
 record_generic
 record_object
 record_read_access
 record_string
 record_time
 record_write_access
 reg2bus
 register
 release_all_regions
 release_region
 remove
 remove_sequence
 report_phase
 report_summarize
 request_region
 reseed
 reserve_region
 reset
 reset_blk
 reset_phase
 reset_quit_count
 reset_report_handler
 reset_severity_counts
 resolve_bindings
 response_handler
 resume
 run_phase
 run_test
function int pack (
    ref  bit  bitstream[],   
    input  uvm_packer  packer  =  null
)
virtual function void pack_bits(
    ref  bit  value[],   
    input  int  size  =  -1
)
Packs bits from upacked array of bits into the pack array.
function int pack_bytes (
    ref byte  unsigned  bytestream[],   
    input  uvm_packer  packer  =  null
)
virtual function void pack_bytes(
    ref  byte  value[],   
    input  int  size  =  -1
)
Packs bits from an upacked array of bytes into the pack array.
virtual function void pack_field (
    uvm_bitstream_t  value,
    int  size
)
Packs an integral value (less than or equal to 4096 bits) into the packed array.
virtual function void pack_field_int (
    uvm_integral_t  value,
    int  size
)
Packs the integral value (less than or equal to 64 bits) into the pack array.
function int pack_ints (
    ref int  unsigned  intstream[],   
    input  uvm_packer  packer  =  null
)
The pack methods bitwise-concatenate this object’s properties into an array of bits, bytes, or ints.
virtual function void pack_ints(
    ref  int  value[],   
    input  int  size  =  -1
)
Packs bits from an unpacked array of ints into the pack array.
virtual function void pack_object (
    uvm_object  value
)
Packs an object value into the pack array.
virtual function void pack_real (
    real  value
)
Packs a real value as 64 bits into the pack array.
virtual function void pack_string (
    string  value
)
Packs a string value into the pack array.
virtual function void pack_time (
    time  value
)
Packs a time value as 64 bits into the pack array.
virtual task peek(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from a memory location
task peek(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Sample a memory location in the region.
virtual task peek(
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from this register
virtual task peek (
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from this field
task peek (
    output  REQ  t
)
Returns the current request item if one is in the FIFO.
virtual task peek(
    output  T1  t
)
Returns the current request item if one is in the sequencer FIFO.
virtual task peek(
    output  T2  t
)
Obtain a new transaction without consuming it.
virtual task peek(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Sample the current value in a virtual register
virtual task peek(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Sample the current value from a virtual field
virtual task peek_mem(
    input  uvm_mem  mem,   
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Peeks the given memory mem using uvm_mem::peek, supplying ‘this’ as the parent argument.
virtual task peek_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Peeks the given register rg using uvm_reg::peek, supplying ‘this’ as the parent argument.
virtual function void phase_ended (
    uvm_phase  phase
)
Invoked at the end of each phase.
virtual function void phase_ready_to_end (
    uvm_phase  phase
)
Invoked when all objections to ending the given phase and all sibling phases have been dropped, thus indicating that phase is ready to begin a clean exit.
virtual function void phase_started (
    uvm_phase  phase
)
Invoked at the start of each phase.
virtual function void phase_state_change(
    uvm_phase  phase,
    uvm_phase_state_change  change
)
Called whenever a phase changes state.
virtual task poke(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in a memory location
task poke(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit in a memory location in the region.
virtual task poke(
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in this register
virtual task poke (
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in this field
virtual task poke(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in a virtual register
virtual task poke(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Deposit the specified value in a virtual field
virtual task poke_mem(
    input  uvm_mem  mem,   
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Pokes the given memory mem using uvm_mem::poke, supplying ‘this’ as the parent argument.
virtual task poke_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  string  kind  =  "",
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Pokes the given register rg using uvm_reg::poke, supplying ‘this’ as the parent argument.
virtual function T pop_back()
Returns the last element in the queue (index=size()-1), or null if the queue is empty.
virtual function T pop_front()
Returns the first element in the queue (index=0), or null if the queue is empty.
virtual task post_body()
This task is a user-definable callback task that is called after the execution of body only when the sequence is started with start.
virtual task post_configure_phase(
    uvm_phase  phase
)
The uvm_post_configure_phase phase implementation method.
virtual function void post_do(
    uvm_sequence_item  this_item
)
This function is a user-definable callback function that is called after the driver has indicated that it has completed the item, using either this item_done or put methods.
virtual task post_main_phase(
    uvm_phase  phase
)
The uvm_post_main_phase phase implementation method.
virtual function void post_predict(
    input  uvm_reg_field  fld,
    input  uvm_reg_data_t  previous,
    inout  uvm_reg_data_t  value,
    input  uvm_predict_e  kind,
    input  uvm_path_e  path,
    input  uvm_reg_map  map
)
Called by the uvm_reg_field::predict() method after a successful UVM_PREDICT_READ or UVM_PREDICT_WRITE prediction.
function void post_randomize()
Clean-up this class instance after randomization
virtual task post_read(
    uvm_reg_item  rw
)
Called after memory read.
virtual task post_read(
    uvm_reg_item  rw
)
Called after register read.
virtual task post_read(
    uvm_reg_item  rw
)
Called after user-defined backdoor register read.
virtual task post_read(
    uvm_reg_item  rw
)
Callback called after a read operation.
virtual task post_read (
    uvm_reg_item  rw
)
Called after field read.
virtual task post_read(
    longint  unsigned  idx,
    ref  uvm_reg_data_t  rdat,
    input  uvm_path_e  path,
    input  uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after virtual register read.
virtual task post_read(
    uvm_vreg  rg,
    longint  unsigned  idx,
    ref  uvm_reg_data_t  rdat,
    input  uvm_path_e  path,
    input  uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after register read.
virtual task post_read(
    longint  unsigned  idx,
    ref  uvm_reg_data_t  rdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after virtual field read.
virtual task post_read(
    uvm_vreg_field  field,
    longint  unsigned  idx,
    ref  uvm_reg_data_t  rdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after a virtual field read.
virtual task post_reset_phase(
    uvm_phase  phase
)
The uvm_post_reset_phase phase implementation method.
virtual task post_shutdown_phase(
    uvm_phase  phase
)
The uvm_post_shutdown_phase phase implementation method.
virtual task post_start()
This task is a user-definable callback that is called after the optional execution of post_body.
virtual function void post_trigger (
    uvm_event#(T)  e,
    data
)
This callback is called after triggering the associated event.
virtual task post_write(
    uvm_reg_item  rw
)
Called after memory write.
virtual task post_write(
    uvm_reg_item  rw
)
Called after register write.
virtual task post_write(
    uvm_reg_item  rw
)
Called after user-defined backdoor register write.
virtual task post_write(
    uvm_reg_item  rw
)
Called after a write operation.
virtual task post_write (
    uvm_reg_item  rw
)
Called after field write.
virtual task post_write(
    longint  unsigned  idx,
    uvm_reg_data_t  wdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after virtual register write.
virtual task post_write(
    uvm_vreg  rg,
    longint  unsigned  idx,
    uvm_reg_data_t  wdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after register write.
virtual task post_write(
    longint  unsigned  idx,
    uvm_reg_data_t  wdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after virtual field write
virtual task post_write(
    uvm_vreg_field  field,
    longint  unsigned  idx,
    uvm_reg_data_t  wdat,
    uvm_path_e  path,
    uvm_reg_map  map,
    ref  uvm_status_e  status
)
Called after a write operation
virtual function void pre_abort
This callback is executed when the message system is executing a UVM_EXIT action.
virtual task pre_body()
This task is a user-definable callback that is called before the execution of body only when the sequence is started with start.
virtual task pre_configure_phase(
    uvm_phase  phase
)
The uvm_pre_configure_phase phase implementation method.
virtual task pre_do(
    bit  is_item
)
This task is a user-definable callback task that is called on the parent sequence, if any sequence has issued a wait_for_grant() call and after the sequencer has selected this sequence, and before the item is randomized.
virtual task pre_main_phase(
    uvm_phase  phase
)
The uvm_pre_main_phase phase implementation method.
virtual function void pre_predict(
    uvm_reg_item  rw
)
Override this method to change the value or re-direct the target register
function void pre_randomize()
Prepare this class instance for randomization
virtual task pre_read(
    uvm_reg_item  rw
)
Called before memory read.
virtual task pre_read(
    uvm_reg_item  rw
)
Called before register read.
virtual task pre_read(
    uvm_reg_item  rw
)
Called before user-defined backdoor register read.
virtual task pre_read(
    uvm_reg_item  rw
)
Callback called before a read operation.
virtual task pre_read (
    uvm_reg_item  rw
)
Called before field read.
virtual task pre_read(
    uvm_reg_item  rw
)
Special post-processing for a write() or update().
virtual task pre_read(
    uvm_reg_item  rw
)
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_read(
    longint  unsigned  idx,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before virtual register read.
virtual task pre_read(
    uvm_vreg  rg,
    longint  unsigned  idx,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before register read.
virtual task pre_read(
    longint  unsigned  idx,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before virtual field read.
virtual task pre_read(
    uvm_vreg_field  field,
    longint  unsigned  idx,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before a virtual field read.
virtual task pre_reset_phase(
    uvm_phase  phase
)
The uvm_pre_reset_phase phase implementation method.
virtual task pre_shutdown_phase(
    uvm_phase  phase
)
The uvm_pre_shutdown_phase phase implementation method.
virtual task pre_start()
This task is a user-definable callback that is called before the optional execution of pre_body.
virtual function bit pre_trigger (
    uvm_event#(T)  e,
    data
)
This callback is called just before triggering the associated event.
virtual task pre_write(
    uvm_reg_item  rw
)
Called before memory write.
virtual task pre_write(
    uvm_reg_item  rw
)
Called before register write.
virtual task pre_write(
    uvm_reg_item  rw
)
Called before user-defined backdoor register write.
virtual task pre_write(
    uvm_reg_item  rw
)
Called before a write operation.
virtual task pre_write (
    uvm_reg_item  rw
)
Called before field write.
virtual task pre_write(
    uvm_reg_item  rw
)
Special pre-processing for a write() or update().
virtual task pre_write(
    uvm_reg_item  rw
)
Produces an error message and sets status to UVM_NOT_OK.
virtual task pre_write(
    longint  unsigned  idx,
    ref  uvm_reg_data_t  wdat,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before virtual register write.
virtual task pre_write(
    uvm_vreg  rg,
    longint  unsigned  idx,
    ref  uvm_reg_data_t  wdat,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Callback called before a write operation.
virtual task pre_write(
    longint  unsigned  idx,
    ref  uvm_reg_data_t  wdat,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Called before virtual field write.
virtual task pre_write(
    uvm_vreg_field  field,
    longint  unsigned  idx,
    ref  uvm_reg_data_t  wdat,
    ref  uvm_path_e  path,
    ref  uvm_reg_map  map
)
Callback called before a write operation.
virtual function bit predict (
    uvm_reg_data_t  value,   
    uvm_reg_byte_en_t  be  =  -1,
    uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
    uvm_path_e  path  =  UVM_FRONTDOOR,
    uvm_reg_map  map  =  null,
    string  fname  =  "",
    int  lineno  =  0
)
Update the mirrored and desired value for this register.
function bit predict (
    uvm_reg_data_t  value,   
    uvm_reg_byte_en_t  be  =  -1,
    uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
    uvm_path_e  path  =  UVM_FRONTDOOR,
    uvm_reg_map  map  =  null,
    string  fname  =  "",
    int  lineno  =  0
)
Update the mirrored and desired value for this field.
function CB prev()
Returns the previous valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int prev (
    ref  KEY  key
)
Returns the key of the previous item in the pool.
virtual function void print (
    int  all_types  =  1
)
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.
The uvm_report_server implements the uvm_object::do_print() such that print method provides UVM printer formatted output of the current configuration.
pure virtual function void print (
    int  all_types  =  1
)
Prints the state of the uvm_factory, including registered types, instance overrides, and type overrides.
function void print (
    uvm_printer  printer  =  null
)
The print method deep-prints this object’s properties in a format and manner governed by the given printer argument; if the printer argument is not provided, the global uvm_default_printer is used.
virtual function void do_print (
    uvm_printer  printer
)
The uvm_report_handler implements the uvm_object::do_print() such that print method provides UVM printer formatted output of the current configuration.
virtual function void do_print(
    uvm_printer  printer
)
The uvm_report_message implements uvm_object::do_print() such that print method provides UVM printer formatted output of the message.
virtual function void print_accessors()
Dump the access records for this resource
virtual function void print_array_footer (
    int  size  =  0
)
Prints the header of a footer.
virtual function void print_array_header(
    string  name,   
    int  size,   
    string  arraytype  =  "array",
    byte  scope_separator  =  "."
)
Prints the header of an array.
virtual function void print_array_range (
    int  min,
    int  max
)
Prints a range using ellipses for values.
static function void print_catcher(
    UVM_FILE  file  =  0
)
Prints information about all of the report catchers that are registered.
function void print_config(
    bit  recurse  =  0,
    bit  audit  =  0
)
Print_config_settings prints all configuration information for this component, as set by previous calls to uvm_config_db::set() and exports to the resources pool.
function void print_config_settings (
    string  field  =  "",
    uvm_component  comp  =  null,
    bit  recurse  =  0
)
Called without arguments, print_config_settings prints all configuration information for this component, as set by previous calls to uvm_config_db::set().
function void print_config_with_audit(
    bit  recurse  =  0
)
Operates the same as print_config except that the audit bit is forced to 1.
virtual function void print_field (
    string  name,   
    uvm_bitstream_t  value,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX,
    byte  scope_separator  =  ".",
    string  type_name  =  ""
)
Prints an integral field (up to 4096 bits).
virtual function void print_field_int (
    string  name,   
    uvm_integral_t  value,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX,
    byte  scope_separator  =  ".",
    string  type_name  =  ""
)
Prints an integral field (up to 64 bits).
virtual function void print_generic (
    string  name,   
    string  type_name,   
    int  size,   
    string  value,   
    byte  scope_separator  =  "."
)
Prints a field having the given name, type_name, size, and value.
function void print_msg (
    string  msg
)
Causes the error count to be incremented and the message, msg, to be appended to the miscompares string (a newline is used to separate messages).
virtual function void print_object (
    string  name,   
    uvm_object  value,   
    byte  scope_separator  =  "."
)
Prints an object.
function void print_override_info(
    string  requested_type_name,   
    string  name  =  ""
)
This factory debug method performs the same lookup process as create_object and create_component, but instead of creating an object, it prints information about what type of object would be created given the provided arguments.
virtual function void print_real (
    string  name,   
    real  value,   
    byte  scope_separator  =  "."
)
Prints a real field.
function void print_resources(
    uvm_resource_types:: rsrc_q_t  rq,   
    bit  audit  =  0
)
Print the resources that are in a single queue, rq.
virtual function void print_string (
    string  name,   
    string  value,   
    byte  scope_separator  =  "."
)
Prints a string field.
virtual function void print_time (
    string  name,   
    time  value,   
    byte  scope_separator  =  "."
)
Prints a time value.
function void print_topology (
    uvm_printer  printer  =  null
)
Print the verification environment’s component topology.
virtual function void process_report_message(
    uvm_report_message  report_message
)
This is the common handler method used by the four core reporting methods (e.g.
virtual function void push_back(
    item
)
Inserts the given item at the back of the queue.
virtual function void push_front(
    item
)
Inserts the given item at the front of the queue.
virtual task put (
    RSP  t
)
Sends a response back to the sequence that issued the request.
virtual task put(
    input  T2  t
)
Sends a response back to the sequence that issued the request.
virtual task put(
    input  T1  t
)
Sends a user-defined transaction of type T.
virtual function void put_response(
    input  T2  t
)
Sends a response back to the sequence that issued the request.
virtual function void raise_objection (
    uvm_object  obj  =  null,
    string  description  =  "",
    int  count  =  1
)
Raises the number of objections for the source object by count, which defaults to 1.
virtual function void raise_objection (
    uvm_object  obj,   
    string  description  =  "",
    int  count  =  1
)
Raise an objection to ending this phase Provides components with greater control over the phase flow for processes which are not implicit objectors to the phase.
virtual function void raised (
    uvm_objection  objection,
    uvm_object  source_obj,
    string  description,
    int  count
)
The raised callback is called when this or a descendant of this component instance raises the specified objection.
virtual function void raised (
    uvm_object  obj,
    uvm_object  source_obj,
    string  description,
    int  count
)
Objection callback that is called when a raise_objection has reached obj.
virtual function void raised (
    uvm_objection  objection,
    uvm_object  obj,
    uvm_object  source_obj,
    string  description,
    int  count
)
Objection raised callback function.
virtual task read(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from a memory location
task read(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read from a memory location in the region.
virtual task read(
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from this register
virtual task read(
    uvm_reg_item  rw
)
User-defined backdoor read operation.
virtual task read (
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from this field
Reads the next value out of the DUT FIFO.
function T read(
    uvm_object  accessor  =  null
)
Return the object stored in the resource container.
virtual task read(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from a virtual register
virtual task read(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the current value from a virtual field
static function bit read_by_name(
    input  string  scope,   
    input  string  name,   
    inout  val,   
    input  uvm_object  accessor  =  null
)
locate a resource by name and scope and read its value.
static function bit read_by_type(
    input  string  scope,   
    inout  val,   
    input  uvm_object  accessor  =  null
)
Read a value by type.
virtual function void read_func(
    uvm_reg_item  rw
)
User-defined backdoor read operation.
virtual task read_mem(
    input  uvm_mem  mem,   
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Reads the given memory mem using uvm_mem::read, supplying ‘this’ as the parent argument.
virtual task read_mem_by_name(
    output  uvm_status_e  status,   
    input  string  name,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  data,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the named memory
virtual task read_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    output  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Reads the given register rg using uvm_reg::read, supplying ‘this’ as the parent argument.
virtual task read_reg_by_name(
    output  uvm_status_e  status,   
    input  string  name,   
    output  uvm_reg_data_t  data,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read the named register
function uvm_mem_mam_cfg reconfigure(
    uvm_mem_mam_cfg  cfg  =  null
)
Reconfigure the manager
function void record (
    uvm_recorder  recorder  =  null
)
The record method deep-records this object’s properties according to an optional recorder policy.
function integer record_error_tr (
    string  stream_name  =  "main",
    uvm_object  info  =  null,
    string  label  =  "error_tr",
    string  desc  =  "",
    time  error_time  =  0,
    bit  keep_active  =  0
)
This function marks an error transaction by a component.
function integer record_event_tr (
    string  stream_name  =  "main",
    uvm_object  info  =  null,
    string  label  =  "event_tr",
    string  desc  =  "",
    time  event_time  =  0,
    bit  keep_active  =  0
)
This function marks an event transaction by a component.
function void record_field(
    string  name,   
    uvm_bitstream_t  value,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX
)
Records an integral field (less than or equal to 4096 bits).
function void record_field_int(
    string  name,   
    uvm_integral_t  value,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX
)
Records an integral field (less than or equal to 64 bits).
function void record_field_real(
    string  name,
    real  value
)
Records a real field.
function void record_generic(
    string  name,   
    string  value,   
    string  type_name  =  ""
)
Records a name/value pair, where value has been converted to a string.
function void record_object(
    string  name,
    uvm_object  value
)
Records an object field.
function void record_read_access(
    uvm_object  accessor  =  null
)
function void record_string(
    string  name,
    string  value
)
Records a string field.
function void record_time(
    string  name,
    time  value
)
Records a time field.
function void record_write_access(
    uvm_object  accessor  =  null
)
pure virtual function uvm_sequence_item reg2bus(
    const ref  uvm_reg_bus_op  rw
)
Extensions of this class must implement this method to convert the specified uvm_reg_bus_op to a corresponding uvm_sequence_item subtype that defines the bus transaction.
virtual function uvm_sequence_item reg2bus(
    const ref  uvm_reg_bus_op  rw
)
Converts a uvm_reg_bus_op struct to a uvm_tlm_gp item.
virtual function void register (
    uvm_object_wrapper  obj
)
Registers the given proxy object, obj, with the factory.
pure virtual function void register (
    uvm_object_wrapper  obj
)
Registers the given proxy object, obj, with the factory.
function void release_all_regions()
Forcibly release all allocated memory regions.
function void release_region(
    uvm_mem_region  region
)
Release the specified region
function void release_region()
Release this region
virtual function void release_region()
Dynamically un-implement a virtual register array
function void remove (
    uvm_component  comp
)
Remove a single component to the set of components being monitored.
static function void remove(
    uvm_reg  rg
)
Remove this callback from the specified register and its contained fields.
static function void remove(
    uvm_reg  rg
)
Remove this callback from the specified register and its contained fields.
virtual function void remove_sequence(
    uvm_object_wrapper  seq_type
)
Removes the given sequence type from this sequence library instance.
virtual function void report_phase(
    uvm_phase  phase
)
The uvm_report_phase phase implementation method.
virtual function void report_summarize(
    UVM_FILE  file  =  0
)
Outputs statistical information on the reports issued by this central report server.
pure virtual function void report_summarize(
    UVM_FILE  file  =  0
)
Outputs statistical information on the reports issued by this central report server.
function uvm_mem_region request_region(
    int  unsigned  n_bytes,   
    uvm_mem_mam_policy  alloc  =  null,
    string  fname  =  "",
    int  lineno  =  0
)
Request and reserve a memory region
function void reseed ()
Calls srandom on the object to reseed the object using the UVM seeding mechanism, which sets the seed based on type name and instance name instead of based on instance position in a thread.
function uvm_mem_region reserve_region(
    bit  [63:0]  start_offset,   
    int  unsigned  n_bytes,   
    string  fname  =  "",
    int  lineno  =  0
)
Reserve a specific memory region
virtual function void reset (
    bit  wakeup  =  1
)
Resets the barrier.
virtual function void reset (
    bit  wakeup  =  0
)
Resets the event to its off state.
virtual function void reset(
    string  kind  =  "HARD"
)
Reset the desired/mirrored value for this register.
virtual function void reset(
    string  kind  =  "HARD"
)
Reset the mirror for this block.
virtual function void reset(
    string  kind  =  "HARD"
)
Reset the desired/mirrored value for this field.
virtual function void reset(
    string  kind  =  "SOFT"
)
Reset the mirror for all registers in this address map.
function void reset()
Reset the value to 0
function void reset(
    string  kind  =  "HARD"
)
Reset the access semaphore
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_blk(
    uvm_reg_block  blk
)
Reset the DUT that corresponds to the specified block abstraction class.
virtual task reset_phase(
    uvm_phase  phase
)
The uvm_reset_phase phase implementation method.
function void reset_quit_count()
Set, get, increment, or reset to 0 the quit count, i.e., the number of COUNT actions issued.
function void reset_report_handler
Resets the underlying report handler to its default settings.
function void reset_severity_counts()
Set, get, or increment the counter for the given severity, or reset all severity counters to 0.
virtual function void resolve_bindings ()
Processes all port, export, and imp connections.
virtual function void resolve_bindings()
This callback is called just before entering the end_of_elaboration phase.
virtual function void response_handler(
    uvm_sequence_item  response
)
When the use_response_handler bit is set to 1, this virtual task is called by the sequencer for each response that arrives for this sequence.
virtual task resume ()
Resume this component.
virtual task run_phase(
    uvm_phase  phase
)
The uvm_run_phase phase implementation method.
task run_phase(
    uvm_phase  phase
)
The push sequencer continuously selects from its list of available sequences and sends the next item from the selected sequence out its req_port using req_port.put(item).
task run_test (
    string  test_name  =  ""
)
Convenience function for uvm_top.run_test().
virtual task run_test (
    string  test_name  =  ""
)
Phases all components through all registered phases.