Method Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
S
 sample
 sample_values
 select_sequence
 send_request
 set
 set priority
 set_abstime
 set_access
 set_action
 set_address
 set_anonymous
 set_arbitration
 set_auto_predict
 set_auto_reset
 set_automatic_phase_objection
 set_backdoor
 set_base_addr
 set_byte_enable
 set_byte_enable_length
 set_check_on_read
 set_command
 set_compare
 set_component_visitor
 set_context
 set_coverage
 set_data
 set_data_length
 set_default
 set_default_hdl_path
 set_default_index
 set_default_map
 set_default_tr_database
 set_depth
 set_dmi_allowed
 set_domain
 set_drain_time
 set_extension
 set_factory
 set_file
 set_file_name
 set_filename
 set_frontdoor
 set_hdl_path_root
 set_heartbeat
 set_id
 set_id_count
 set_id_info
 set_initiator
 set_inst_override
 set_inst_override_by_name
 set_inst_override_by_type
 set_int_local
 set_item_context
 set_jump_phase
 set_lhs
 set_line
 set_max_quit_count
 set_max_zero_time_wait_relevant_count
 set_message
 set_message_database
 set_mode
 set_name
 set_name_override
 set_num_last_reqs
 set_num_last_rsps
 set_object_local
 set_offset
 set_override
 set_parent_sequence
 set_phase_imp
 set_priority
 set_priority_name
 set_priority_type
 set_propagate_mode
 set_quit_count
 set_read
 set_read_only
 set_report_default_file
 set_report_default_file_hier
 set_report_handler
 set_report_id_action
 set_report_id_action_hier
 set_report_id_file
 set_report_id_file_hier
 set_report_id_verbosity
 set_report_id_verbosity_hier
 set_report_message
 set_report_object
 set_report_server
 set_report_severity_action
 set_report_severity_action_hier
 set_report_severity_file
 set_report_severity_file_hier
 set_report_severity_id_action
 set_report_severity_id_action_hier
 set_report_severity_id_file
 set_report_severity_id_file_hier
 set_report_severity_id_override
 set_report_severity_id_verbosity
 set_report_severity_id_verbosity_hier
 set_report_severity_override
 set_report_verbosity_level
 set_report_verbosity_level_hier
 set_reset
 set_response_queue_depth
 set_response_queue_error_report_disabled
 set_response_status
 set_rhs
 set_scope
 set_sequencer
 set_server
 set_severity
 set_severity_count
 set_starting_phase
 set_streaming_width
 set_string_local
 set_submap_offset
 set_threshold
 set_time_resolution
 set_timeout
 set_transaction_id
 set_transaction_order_policy
 set_type_override
 set_type_override_by_name
 set_type_override_by_type
 set_use_sequence_info
 set_value
 set_verbosity
 set_volatility
 set_write
 shutdown_phase
 size
 sort_by_precedence
 spell_check
 sprint
 start
 start_item
 start_of_simulation_phase
 start_phase_sequence
 stop
 stop_phase_sequence
 stop_sequences
 stop_stimulus_generation
 summarize
 suspend
 sync
T
 trace_mode
 transport
 traverse
 trigger
 try_get
 try_next_item
 try_peek
 try_put
 try_set
 turn_off_auditing
 turn_off_tracing
 turn_on_auditing
 turn_on_tracing
protected virtual function void sample(
    uvm_reg_addr_t  offset,
    bit  is_read,
    uvm_reg_map  map
)
Functional coverage measurement method
protected virtual function void sample(
    uvm_reg_data_t  data,
    uvm_reg_data_t  byte_en,
    bit  is_read,
    uvm_reg_map  map
)
Functional coverage measurement method
protected virtual function void sample(
    uvm_reg_addr_t  offset,
    bit  is_read,
    uvm_reg_map  map
)
Functional coverage measurement method
virtual function void sample_values()
Functional coverage measurement method for field values
virtual function void sample_values()
Functional coverage measurement method for field values
virtual function int unsigned select_sequence(
    int  unsigned  max
)
Generates an index used to select the next sequence to execute.
function void send_request(
    uvm_sequence_item  request,   
    bit  rerandomize  =  0
)
This method will send the request item to the sequencer, which will forward it to the driver.
virtual function void send_request(
    uvm_sequence_item  request,   
    bit  rerandomize  =  0
)
The send_request function may only be called after a wait_for_grant call.
virtual function void send_request(
    uvm_sequence_base  sequence_ptr,   
    uvm_sequence_item  t,   
    bit  rerandomize  =  0
)
Derived classes implement this function to send a request item to the sequencer, which will forward it to the driver.
virtual function void send_request(
    uvm_sequence_base  sequence_ptr,   
    uvm_sequence_item  t,   
    bit  rerandomize  =  0
)
The send_request function may only be called after a wait_for_grant call.
static function void set(
    uvm_component  cntxt,
    string  inst_name,
    string  field_name,
    value
)
Create a new or update an existing configuration setting for field_name in inst_name from cntxt.
virtual function void set(
    value
)
Updates the value stored within the DAP.
function void set(
    uvm_hdl_path_slice  t[]
)
Initialize the concatenation using an array literal
function void set(
    uvm_object  lhs,
      rhs
)
Convenience method for setting both sides in one call.
virtual function void set (
    uvm_reg_data_t  value,   
    string  fname  =  "",
    int  lineno  =  0
)
Set the desired value for this register
virtual function void set(
    uvm_reg_data_t  value,   
    string  fname  =  "",
    int  lineno  =  0
)
Set the desired value for this field
virtual function void set(
    uvm_reg_data_t  value,   
    string  fname  =  "",
    int  lineno  =  0
)
Pushes the given value to the abstract FIFO.
function void set()
Simply put this resource into the global resource pool
static function void set(
    input  string  scope,   
    input  string  name,   
    val,   
    input  uvm_object  accessor  =  null
)
Create a new resource, write a val to it, and set it into the database using name and scope as the lookup parameters.
function void set (
    uvm_resource_base  rsrc,   
    uvm_resource_types:: override_t  override  =  0
)
Add a new resource to the resource pool.
virtual function void set(
    value
)
Updates the value stored within the DAP.
pure virtual function void set(
    value
)
Sets the value contained within the resource.
virtual function void set(
    value
)
Updates the value stored within the DAP.
Change the search priority of the resource based on the value of the priority enum argument, pri.
Change the search priority of the resource based on the value of the priority enum argument.
function void set_abstime(
    real  t,
    real  secs
)
Set the current canonical time value, to the number of specified time unit, regardless of the current timescale of the caller.
virtual function string set_access(
    string  mode
)
Modify the access policy of the field
protected function void set_action(
    uvm_action  action
)
Change the action of the message to action.
virtual function void set_action(
    uvm_action  act
)
Get or set the action(s) that the uvm_report_server should perform for this message.
virtual function void set_action(
    uvm_action  action
)
Get or set the authorized action for the element
virtual function void set_address(
    bit  [63:0]  addr
)
Set the value of the m_address variable
static function void set_anonymous(
    input  string  scope,   
    val,   
    input  uvm_object  accessor  =  null
)
Create a new resource, write a val to it, and set it into the database.
function void set_arbitration(
    UVM_SEQ_ARB_TYPE  val
)
Specifies the arbitration mode for the sequencer.
function void set_auto_predict(
    bit  on  =  1
)
Sets the auto-predict mode for his map.
virtual function void set_auto_reset (
    bit  value  =  1
)
Determines if the barrier should reset itself after the threshold is reached.
function void set_automatic_phase_objection(
    bit  value
)
Sets the ‘automatically object to starting phase’ bit.
function void set_backdoor (
    uvm_reg_backdoor  bkdr,   
    string  fname  =  "",
    int  lineno  =  0
)
Set a user-defined backdoor for this memory
function void set_backdoor(
    uvm_reg_backdoor  bkdr,   
    string  fname  =  "",
    int  lineno  =  0
)
Set a user-defined backdoor for this register
function void set_backdoor (
    uvm_reg_backdoor  bkdr,   
    string  fname  =  "",
    int  lineno  =  0
)
Set the user-defined backdoor for all registers in this block
virtual function void set_base_addr (
    uvm_reg_addr_t  offset
)
Set the base address of this map.
virtual function void set_byte_enable(
    ref byte  unsigned  p[]
)
Set the value of the m_byte_enable array
virtual function void set_byte_enable_length(
    int  unsigned  length
)
Set the size m_byte_enable_length of the m_byte_enable array i.e.
function void set_check_on_read(
    bit  on  =  1
)
Sets the check-on-read mode for his map and all of its submaps.
virtual function void set_command(
    uvm_tlm_command_e  command
)
Set the value of the m_command variable
function void set_compare(
    uvm_check_e  check  =  UVM_CHECK
)
Sets the compare policy during a mirror update.
function void set_compare(
    uvm_check_e  check  =  UVM_CHECK
)
Sets the compare policy during a mirror (read) of the DUT FIFO.
pure virtual function void set_component_visitor(
    uvm_visitor#(uvm_component)  v
)
intended to set the component visitor to v (this visitor is being used for the traversal at end_of_elaboration_phase for instance for name checking)
virtual function void set_component_visitor(
    uvm_visitor#(uvm_component)  v
)
sets the component visitor to v (this visitor is being used for the traversal at end_of_elaboration_phase for instance for name checking)
protected function void set_context(
    string  context_str
)
Change the context of the message to context_str.
virtual function void set_context(
    string  cn
)
Get or set the optional user-supplied string that is meant to convey the context of the message.
virtual function uvm_reg_cvr_t set_coverage(
    uvm_reg_cvr_t  is_on
)
Turns on coverage measurement.
virtual function uvm_reg_cvr_t set_coverage(
    uvm_reg_cvr_t  is_on
)
Turns on coverage measurement.
virtual function uvm_reg_cvr_t set_coverage(
    uvm_reg_cvr_t  is_on
)
Turns on coverage measurement.
virtual function void set_data(
    ref byte unsigned  []
)
Set the value of the m_data array
virtual function void set_data_length(
    int  unsigned  length
)
Set the value of the m_length
static function rsrc_t set_default(
    string  scope,
    string  name
)
add a new item into the resources database.
function void set_default_hdl_path (
    string  kind
)
Set the default design abstraction
function void set_default_hdl_path (
    string  kind
)
Set the default design abstraction
function void set_default_index (
    int  index
)
Sets the default implementation port to use when calling an interface method.
function void set_default_map (
    uvm_reg_map  map
)
Defines the default address map
pure virtual function void set_default_tr_database(
    uvm_tr_database  db
)
intended to set the current default record database to db
virtual function void set_default_tr_database(
    uvm_tr_database  db
)
Sets the current default record database to db
function void set_depth(
    int  value
)
The depth of any sequence is calculated automatically.
virtual function void set_dmi_allowed(
    bit  dmi
)
DMI hint.
function void set_domain(
    uvm_domain  domain,   
    int  hier  =  1
)
Apply a phase domain to this component and, if hier is set, recursively to all its children.
Sets the drain time on the given object to drain.
function uvm_tlm_extension_base set_extension(
    uvm_tlm_extension_base  ext
)
Add an instance-specific extension.
pure virtual function void set_factory(
    uvm_factory  f
)
intended to set the current uvm factory
virtual function void set_factory(
    uvm_factory  f
)
Sets the current uvm factory.
virtual function void set_file(
    UVM_FILE  fl
)
Get or set the file that the message is to be written to when the message’s action is UVM_LOG.
function void set_file_name(
    string  filename
)
Sets the file name which will be used for output.
virtual function void set_filename(
    string  fname
)
Get or set the file from which the message originates.
function void set_frontdoor(
    uvm_reg_frontdoor  ftdr,   
    uvm_reg_map  map  =  null,
    string  fname  =  "",
    int  lineno  =  0
)
Set a user-defined frontdoor for this memory
function void set_frontdoor(
    uvm_reg_frontdoor  ftdr,   
    uvm_reg_map  map  =  null,
    string  fname  =  "",
    int  lineno  =  0
)
Set a user-defined frontdoor for this register
function void set_hdl_path_root (
    string  path,   
    string  kind  =  "RTL"
)
Specify a root HDL path
function void set_heartbeat (
    uvm_event#(uvm_object)  e,
    ref  uvm_component  comps[$]
)
Sets up the heartbeat event and assigns a list of objects to watch.
protected function void set_id(
    string  id
)
Change the id of the message to id.
virtual function void set_id(
    string  id
)
Get or set the id of the message.
function void set_id_count(
    string  id,
    int  count
)
pure virtual function void set_id_count(
    string  id,
    int  count
)
sets the count of already passed messages with id to count
function void set_id_info(
    uvm_sequence_item  item
)
Copies the sequence_id and transaction_id from the referenced item into the calling item.
function void set_initiator (
    uvm_component  initiator
)
Sets initiator as the initiator of this transaction.
function void set_inst_override(
    string  relative_inst_path,
    string  original_type_name,
    string  override_type_name
)
A convenience function for uvm_factory::set_inst_override_by_name, this method registers a factory override for components created at this level of hierarchy or below.
static function void set_inst_override(
    uvm_object_wrapper  override_type,   
    string  inst_path,   
    uvm_component  parent  =  null
)
Configures the factory to create a component of the type represented by override_type whenever a request is made to create an object of the type, T, represented by this proxy, with matching instance paths.
static function void set_inst_override(
    uvm_object_wrapper  override_type,   
    string  inst_path,   
    uvm_component  parent  =  null
)
Configures the factory to create an object of the type represented by override_type whenever a request is made to create an object of the type represented by this proxy, with matching instance paths.
virtual function void set_inst_override_by_name (
    string  original_type_name,
    string  override_type_name,
    string  full_inst_path
)
Configures the factory to create an object of the override’s type whenever a request is made to create an object of the original type using a context that matches full_inst_path.
pure virtual function void set_inst_override_by_name (
    string  original_type_name,
    string  override_type_name,
    string  full_inst_path
)
Configures the factory to create an object of the override’s type whenever a request is made to create an object of the original type using a context that matches full_inst_path.
function void set_inst_override_by_type(
    string  relative_inst_path,
    uvm_object_wrapper  original_type,
    uvm_object_wrapper  override_type
)
A convenience function for uvm_factory::set_inst_override_by_type, this method registers a factory override for components and objects created at this level of hierarchy or below.
virtual function void set_inst_override_by_type (
    uvm_object_wrapper  original_type,
    uvm_object_wrapper  override_type,
    string  full_inst_path
)
pure virtual function void set_inst_override_by_type (
    uvm_object_wrapper  original_type,
    uvm_object_wrapper  override_type,
    string  full_inst_path
)
virtual function void set_int_local (
    string  field_name,   
    uvm_bitstream_t  value,   
    bit  recurse  =  1
)
function void set_item_context(
    uvm_sequence_base  parent_seq,   
    uvm_sequencer_base  sequencer  =  null
)
Set the sequence and sequencer execution context for a sequence item
function void set_jump_phase(
    uvm_phase  phase
)
Specify a phase to transition to when phase is complete.
function void set_lhs(
    uvm_object  lhs
)
Sets the left-hand-side of the link
virtual function void set_line(
    int  ln
)
Get or set the line in the file from which the message originates.
function void set_max_quit_count(
    int  count,   
    bit  overridable  =  1
)
Get or set the maximum number of COUNT actions that can be tolerated before a UVM_EXIT action is taken.
pure virtual function void set_max_quit_count(
    int  count,   
    bit  overridable  =  1
)
count is the maximum number of UVM_QUIT actions the uvm_report_server will tolerate before invoking client.die().
virtual function void set_max_zero_time_wait_relevant_count(
    int  new_val
)
Can be called at any time to change the maximum number of times wait_for_relevant() can be called by the sequencer in zero time before an error is declared.
protected function void set_message(
    string  message
)
Change the text of the message to message.
virtual function void set_message(
    string  msg
)
Get or set the user message content string.
virtual function void set_message_database(
    uvm_tr_database  database
)
sets the uvm_tr_database used for recording messages
pure virtual function void set_message_database(
    uvm_tr_database  database
)
sets the uvm_tr_database used for recording messages
function uvm_heartbeat_modes set_mode (
    uvm_heartbeat_modes  mode  =  UVM_NO_HB_MODE
)
Sets or retrieves the heartbeat mode.
virtual function void set_name (
    string  name
)
Sets the instance name of this object, overwriting any previously given name.
virtual function void set_name(
    string  name
)
Get or set the name of the element
function void set_name_override(
    uvm_resource_base  rsrc
)
The resource provided as an argument will entered into the pool using normal precedence in the type map and will override the name.
function void set_num_last_reqs(
    int  unsigned  max
)
Sets the size of the last_requests buffer.
function void set_num_last_rsps(
    int  unsigned  max
)
Sets the size of the last_responses buffer.
virtual function void set_object_local (
    string  field_name,   
    uvm_object  value,   
    bit  clone  =  1,
    bit  recurse  =  1
)
These methods provide write access to integral, string, and uvm_object-based properties indexed by a field_name string.
Modify the offset of the memory
virtual function void set_offset (
    uvm_reg_map  map,   
    uvm_reg_addr_t  offset,   
    bit  unmapped  =  0
)
Modify the offset of the register
function void set_override(
Put a resource into the global resource pool as an override.
function void set_override(
    uvm_resource_base  rsrc
)
The resource provided as an argument will be entered into the pool and will override both by name and type.
function void set_parent_sequence(
    uvm_sequence_base  parent
)
Sets the parent sequence of this sequence_item.
function void set_phase_imp(
    uvm_phase  phase,   
    uvm_phase  imp,   
    int  hier  =  1
)
Override the default implementation for a phase on this component (tree) with a custom one, which must be created as a singleton object extending the default one and implementing required behavior in exec and traverse methods
function void set_priority (
    uvm_resource_base  rsrc,
    uvm_resource_types:: priority_e  pri
)
Change the search priority of the rsrc based on the value of pri, the priority enum argument.
function void set_priority (
    int  value
)
The priority of a sequence may be changed at any point in time.
function void set_priority_name(
    uvm_resource_base  rsrc,
    uvm_resource_types:: priority_e  pri
)
Change the priority of the rsrc based on the value of pri, the priority enum argument.
function void set_priority_type(
    uvm_resource_base  rsrc,
    uvm_resource_types:: priority_e  pri
)
Change the priority of the rsrc based on the value of pri, the priority enum argument.
function void set_propagate_mode (
    bit  prop_mode
)
Sets the propagation mode for this objection.
function void set_quit_count(
    int  quit_count
)
pure virtual function void set_quit_count(
    int  quit_count
)
sets the current number of UVM_QUIT actions already passed through this uvm_report_server
virtual function void set_read()
Set the current value of the m_command variable to UVM_TLM_READ_COMMAND.
function void set_read_only()
Establishes this resource as a read-only resource.
function void set_report_default_file (
    UVM_FILE  file
)
function void set_report_default_file_hier (
    UVM_FILE  file
)
virtual function void set_report_handler(
    uvm_report_handler  rh
)
Get or set the uvm_report_handler that is responsible for checking whether the message is enabled, should be upgraded/downgraded, etc.
function void set_report_handler(
    uvm_report_handler  handler
)
Sets the report handler, overwriting the default instance.
function void set_report_id_action (
    string  id,
    uvm_action  action
)
function void set_report_id_action_hier (
    string  id,
    uvm_action  action
)
function void set_report_id_file (
    string  id,
    UVM_FILE  file
)
function void set_report_id_file_hier (
    string  id,
    UVM_FILE  file
)
function void set_report_id_verbosity (
    string  id,
    int  verbosity
)
function void set_report_id_verbosity_hier (
    string  id,
    int  verbosity
)
virtual function void set_report_message(
    uvm_severity  severity,
    string  id,
    string  message,
    int  verbosity,
    string  filename,
    int  line,
    string  context_name
)
Set all the common fields of the report message in one shot.
virtual function void set_report_object(
    uvm_report_object  ro
)
Get or set the uvm_report_object that originated the message.
pure virtual function void set_report_server(
    uvm_report_server  server
)
intended to set the central report server to server
virtual function void set_report_server(
    uvm_report_server  server
)
sets the central report server to server
virtual function void set_report_server(
    uvm_report_server  rs
)
Get or set the uvm_report_server that is responsible for servicing the message’s actions.
function void set_report_severity_action (
    uvm_severity  severity,
    uvm_action  action
)
function void set_report_severity_action_hier (
    uvm_severity  severity,
    uvm_action  action
)
function void set_report_severity_file (
    uvm_severity  severity,
    UVM_FILE  file
)
function void set_report_severity_file_hier (
    uvm_severity  severity,
    UVM_FILE  file
)
function void set_report_severity_id_action (
    uvm_severity  severity,
    string  id,
    uvm_action  action
)
These methods associate the specified action or actions with reports of the given severity, id, or severity-id pair.
function void set_report_severity_id_action_hier(
    uvm_severity  severity,
    string  id,
    uvm_action  action
)
These methods recursively associate the specified action with reports of the given severity, id, or severity-id pair.
function void set_report_severity_id_file (
    uvm_severity  severity,
    string  id,
    UVM_FILE  file
)
These methods configure the report handler to direct some or all of its output to the given file descriptor.
function void set_report_severity_id_file_hier(
    uvm_severity  severity,
    string  id,
    UVM_FILE  file
)
These methods recursively associate the specified FILE descriptor with reports of the given severity, id, or severity-id pair.
function void set_report_severity_id_override(
    uvm_severity  cur_severity,
    string  id,
    uvm_severity  new_severity
)
These methods provide the ability to upgrade or downgrade a message in terms of severity given severity and id.
function void set_report_severity_id_verbosity (
    uvm_severity  severity,
    string  id,
    int  verbosity
)
These methods associate the specified verbosity threshold with reports of the given severity, id, or severity-id pair.
function void set_report_severity_id_verbosity_hier(
    uvm_severity  severity,
    string  id,
    int  verbosity
)
These methods recursively associate the specified verbosity with reports of the given severity, id, or severity-id pair.
function void set_report_severity_override(
    uvm_severity  cur_severity,
    uvm_severity  new_severity
)
function void set_report_verbosity_level (
    int  verbosity_level
)
This method sets the maximum verbosity level for reports for this component.
function void set_report_verbosity_level_hier (
    int  verbosity
)
This method recursively sets the maximum verbosity level for reports for this component and all those below it.
virtual function void set_reset(
    uvm_reg_data_t  value,   
    string  kind  =  "HARD"
)
Specify or modify the reset value for this register
virtual function void set_reset(
    uvm_reg_data_t  value,   
    string  kind  =  "HARD"
)
Specify or modify the reset value for this field
function void set_response_queue_depth(
    int  value
)
The default maximum depth of the response queue is 8.
function void set_response_queue_error_report_disabled(
    bit  value
)
By default, if the response_queue overflows, an error is reported.
virtual function void set_response_status(
    uvm_tlm_response_status_e  status
)
Set the current value of the m_response_status variable
function void set_rhs(
    uvm_object  rhs
)
Sets the right-hand-side of the link
function void set_scope(
    string  s
)
Set the value of the regular expression that identifies the set of scopes over which this resource is visible.
virtual function void set_sequencer (
    uvm_sequencer_base  sequencer,   
    uvm_reg_adapter  adapter  =  null
)
Set the sequencer and adapter associated with this map.
virtual function void set_sequencer(
    uvm_sequencer_base  sequencer
)
Sets the default sequencer for the sequence to sequencer.
static function void set_server(
    uvm_report_server  server
)
Sets the global report server to use for reporting.
protected function void set_severity(
    uvm_severity  severity
)
Change the severity of the message to severity.
virtual function void set_severity(
    uvm_severity  sev
)
Get or set the severity (UVM_INFO, UVM_WARNING, UVM_ERROR or UVM_FATAL) of the message.
function void set_severity_count(
    uvm_severity  severity,
    int  count
)
pure virtual function void set_severity_count(
    uvm_severity  severity,
    int  count
)
sets the count of already passed messages with severity severity to count
function void set_starting_phase(
    uvm_phase  phase
)
Sets the ‘starting phase’.
virtual function void set_streaming_width(
    int  unsigned  width
)
Set the value of the m_streaming_width array
virtual function void set_string_local (
    string  field_name,   
    string  value,   
    bit  recurse  =  1
)
virtual function void set_submap_offset (
    uvm_reg_map  submap,
    uvm_reg_addr_t  offset
)
Set the offset of the given submap to offset.
virtual function void set_threshold (
    int  threshold
)
Sets the process threshold.
static function void set_time_resolution(
    real  res
)
Set the default canonical time resolution.
function void set_timeout(
    time  timeout,   
    bit  overridable  =  1
)
Specifies the timeout for the simulation.
function void set_transaction_id(
    integer  id
)
Sets this transaction’s numeric identifier to id.
function void set_transaction_order_policy(
    uvm_reg_transaction_order_policy  pol
)
set the transaction order policy
static function void set_type_override(
    string  original_type_name,   
    string  override_type_name,   
    bit  replace  =  1
)
A convenience function for uvm_factory::set_type_override_by_name, this method configures the factory to create an object of type override_type_name whenever the factory is asked to produce a type represented by original_type_name.
static function void set_type_override (
    uvm_object_wrapper  override_type,   
    bit  replace  =  1
)
Configures the factory to create an object of the type represented by override_type whenever a request is made to create an object of the type, T, represented by this proxy, provided no instance override applies.
static function void set_type_override (
    uvm_object_wrapper  override_type,   
    bit  replace  =  1
)
Configures the factory to create an object of the type represented by override_type whenever a request is made to create an object of the type represented by this proxy, provided no instance override applies.
function void set_type_override(
    uvm_resource_base  rsrc
)
The resource provided as an argument will be entered into the pool using normal precedence in the name map and will override the type.
virtual function void set_type_override_by_name (
    string  original_type_name,   
    string  override_type_name,   
    bit  replace  =  1
)
Configures the factory to create an object of the override’s type whenever a request is made to create an object of the original type, provided no instance override applies.
pure virtual function void set_type_override_by_name (
    string  original_type_name,   
    string  override_type_name,   
    bit  replace  =  1
)
Configures the factory to create an object of the override’s type whenever a request is made to create an object of the original type, provided no instance override applies.
static function void set_type_override_by_type (
    uvm_object_wrapper  original_type,   
    uvm_object_wrapper  override_type,   
    bit  replace  =  1
)
A convenience function for uvm_factory::set_type_override_by_type, this method registers a factory override for components and objects created at this level of hierarchy or below.
virtual function void set_type_override_by_type (
    uvm_object_wrapper  original_type,   
    uvm_object_wrapper  override_type,   
    bit  replace  =  1
)
pure virtual function void set_type_override_by_type (
    uvm_object_wrapper  original_type,   
    uvm_object_wrapper  override_type,   
    bit  replace  =  1
)
function void set_use_sequence_info(
    bit  value
)
virtual function void set_value(
    uvm_bitstream_t  value,
    int  size,
    uvm_radix_enum  radix
)
Get or set the value (integral type) of the element, with size and radix
virtual function void set_value(
    uvm_object  value
)
Get or set the value (object reference) of the element
virtual function void set_value(
    string  value
)
Get or set the value (string type) of the element
protected function void set_verbosity(
    int  verbosity
)
Change the verbosity of the message to verbosity.
virtual function void set_verbosity(
    int  ver
)
Get or set the message threshold value.
virtual function void set_volatility(
    bit  volatile
)
Modify the volatility of the field to the specified one.
virtual function void set_write()
Set the current value of the m_command variable to UVM_TLM_WRITE_COMMAND.
virtual task shutdown_phase(
    uvm_phase  phase
)
The uvm_shutdown_phase phase implementation method.
function int size ()
Gets the number of implementation ports connected to this port.
virtual function int size ()
Returns the number of items stored in the queue.
function int unsigned size()
The number of entries currently in the FIFO.
virtual function int size()
Returns the size of the container, i.e.
virtual function int size()
Returns the capacity of the FIFO-- that is, the number of entries the FIFO is capable of holding.
static function void sort_by_precedence(
    ref uvm_resource_types:: rsrc_q_t  q
)
Given a list of resources, obtained for example from lookup_scope, sort the resources in precedence order.
function bit spell_check(
    string  s
)
Invokes the spell checker for a string s.
function string sprint (
    uvm_printer  printer  =  null
)
The sprint method works just like the print method, except the output is returned in a string rather than displayed.
function void start (
    uvm_event#(uvm_object)  e  =  null
)
Starts the heartbeat monitor.
virtual task start (
    uvm_sequencer_base  sequencer,   
    uvm_sequence_base  parent_sequence  =  null,
    int  this_priority  =  -1,
    bit  call_pre_post  =  1
)
Executes this sequence, returning when the sequence has completed.
virtual task start_item (
    uvm_sequence_item  item,   
    int  set_priority  =  -1,
    uvm_sequencer_base  sequencer  =  null
)
start_item and finish_item together will initiate operation of a sequence item.
virtual function void start_of_simulation_phase(
    uvm_phase  phase
)
The uvm_start_of_simulation_phase phase implementation method.
virtual function void start_phase_sequence(
    uvm_phase  phase
)
Start the default sequence for this phase, if any.
function void stop ()
Stops the heartbeat monitor.
virtual function void stop_phase_sequence(
    uvm_phase  phase
)
Stop the default sequence for this phase, if any exists, and it is still executing.
virtual function void stop_sequences()
Tells the sequencer to kill all sequences and child sequences currently operating on the sequencer, and remove all requests, locks and responses that are currently queued.
virtual function void stop_sequences()
Tells the sequencer to kill all sequences and child sequences currently operating on the sequencer, and remove all requests, locks and responses that are currently queued.
virtual function void stop_stimulus_generation
Stops the generation of stimulus.
static function void summarize()
This function is called automatically by uvm_report_server::report_summarize().
virtual task suspend ()
Suspend this component.
function void sync(
    uvm_domain  target,   
    uvm_phase  phase  =  null,
    uvm_phase  with_phase  =  null
)
Synchronize two domains, fully or partially
function bit trace_mode (
    int  mode  =  -1
)
Set or get the trace mode for the objection object.
virtual task transport(
    input T1  req  ,
    output  T2  rsp
)
Executes the given request and returns the response in the given output argument.
virtual function void traverse(
    uvm_component  comp,
    uvm_phase  phase,
    uvm_phase_state  state
)
Traverses the component tree in bottom-up order, calling execute for each component.
virtual function void traverse(
    uvm_component  comp,
    uvm_phase  phase,
    uvm_phase_state  state
)
Traverses the component tree in bottom-up order, calling execute for each component.
virtual function void traverse(
    uvm_component  comp,
    uvm_phase  phase,
    uvm_phase_state  state
)
Traverses the component tree in top-down order, calling execute for each component.
virtual function void trigger (
    data  =  null
)
Triggers the event, resuming all waiting processes.
virtual function bit try_get(
    output  value
)
Retrieves the current value stored within the DAP, and ‘locks’ the DAP.
virtual function bit try_get(
    output  value
)
Attempts to retrieve the current value stored within the DAP
pure virtual function bit try_get(
    output  value
)
Attempts to retrieve the value contained within the resource.
virtual function bit try_get(
    output  value
)
Retrieves the current value stored within the DAP
virtual function bit try_get(
    output  T2  t
)
Provides a new transaction of type T.
virtual task try_next_item (
    output  REQ  t
)
Retrieves the next available item from a sequence if one is available.
virtual task try_next_item(
    output  T1  t
)
Retrieves the next available item from a sequence if one is available.
virtual function bit try_peek(
    output  T2  t
)
Provides a new transaction without consuming it.
virtual function bit try_put(
    input  T1  t
)
Sends a transaction of type T, if possible.
virtual function bit try_set(
    value
)
Attempts to update the value stored within the DAP.
virtual function bit try_set(
    value
)
Attempts to update the value stored within the DAP.
pure virtual function bit try_set(
    value
)
Attempts to set the value contained within the resource.
virtual function bit try_set(
    value
)
Attempts to update the value stored within the DAP.
static function void turn_off_auditing()
Turn auditing off for the resource database.
static function void turn_off_tracing()
Turn tracing off for the configuration database.
static function void turn_off_tracing()
Turn tracing off for the resource database.
static function void turn_on_auditing()
Turn auditing on for the resource database.
static function void turn_on_tracing()
Turn tracing on for the configuration database.
static function void turn_on_tracing()
Turn tracing on for the resource database.