Method Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
D
 debug_connected_to
 debug_create_by_name
 debug_create_by_type
 debug_provided_to
 decode
 decr
 define_access
 define_domain
 delete
 delete_by_name
 delete_callback
 delete_elements
 die
 disable_auto_item_recording
 disable_recording
 display
 display_objections
 do_accept_tr
 do_begin_tr
 do_block
 do_bus_read
 do_bus_write
 do_close
 do_close_db
 do_compare
 do_copy
 do_end_tr
 do_establish_link
 do_free
 do_get_lhs
 do_get_rhs
 do_kill
 do_open
 do_open_db
 do_open_recorder
 do_open_stream
 do_pack
 do_post_read
 do_post_write
 do_pre_read
 do_pre_write
 do_predict
 do_print
 do_read
 do_record
 do_record_field
 do_record_field_int
 do_record_field_real
 do_record_generic
 do_record_object
 do_record_string
 do_record_time
 do_reg_item
 do_set_lhs
 do_set_rhs
 do_unpack
 do_write
 drop_objection
 dropped
 dump
E
 emit
 enable_recording
 encode
 end_of_elaboration_phase
 end_prematurely
 end_tr
 end_v
 establish_link
 exec_func
 exec_task
 execute
 execute_item
 execute_report_message
 exists
 extract_phase
F
 final_phase
 find
 find_all
 find_block
 find_blocks
 find_by_name
 find_override_by_name
 find_override_by_type
 find_unused_resources
 find_wrapper_by_name
 finish_item
 first
 flush
 for_each
 format_action
 format_footer
 format_header
 format_row
 free
 free_tr_stream
 from_name
function void debug_connected_to (
    int  level  =  0,
    int  max_level  =  -1
)
The debug_connected_to method outputs a visual text display of the port/export/imp network to which this port connects (i.e., the port’s fanout).
virtual function void debug_create_by_name (
    string  requested_type_name,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
These methods perform the same search algorithm as the create_* methods, but they do not create new objects.
pure virtual function void debug_create_by_name (
    string  requested_type_name,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
These methods perform the same search algorithm as the create_* methods, but they do not create new objects.
virtual function void debug_create_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
pure virtual function void debug_create_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
function void debug_provided_to (
    int  level  =  0,
    int  max_level  =  -1
)
The debug_provided_to method outputs a visual display of the port/export network that ultimately connect to this port (i.e., the port’s fanin).
virtual function void decode(
    ref  uvm_reg_data_t  data[]
)
Data decode
function void decr(
    real  t,
    time  scaled,
    real  secs
)
Decrement the time value by the specified number of scaled time unit
static function bit define_access(
    string  name
)
Define a new access policy value
virtual protected function void define_domain(
    uvm_domain  domain
)
Builds custom phase schedules into the provided domain handle.
static function void delete(
    obj,
    uvm_callback  cb
)
Deletes the given callback object, cb, from the queue associated with the given obj handle.
virtual function void delete (
    string  key
)
Removes the item with the given string key from the pool.
virtual function void delete (
    KEY  key
)
Removes the item with the given key from the pool.
virtual function void delete (
    int  index  =  -1
)
Removes the item at the given index from the queue; if index is not provided, the entire contents of the queue are deleted.
virtual function void delete(
    int  index
)
Delete the index-th element in the container
static function void delete_by_name(
    string  name,
    uvm_callback  cb,
    uvm_component  root
)
Removes the given callback object, cb, associated with one or more uvm_component callback queues.
virtual function void delete_callback (
    uvm_event_callback#(T)  cb
)
Unregisters the given callback, cb, from this event.
virtual function void delete_elements()
Delete all the elements in the container
virtual function void die()
This method is called by the report server if a report reaches the maximum quit count or has a UVM_EXIT action associated with it, e.g., as with fatal errors.
virtual function void disable_auto_item_recording()
By default, item recording is performed automatically when get_next_item() and item_done() are called.
function void disable_recording ()
Turns off recording for the transaction stream.
static function void display(
    obj  =  null
)
This function displays callback information for obj.
function void display_objections(
    uvm_object  obj  =  null,
    bit  show_header  =  1
)
Displays objection information about the given object.
virtual protected function void do_accept_tr (
    uvm_transaction  tr
)
The accept_tr method calls this function to accommodate any user-defined post-accept action.
virtual protected function void do_accept_tr ()
This user-definable callback is called by accept_tr just before the accept event is triggered.
virtual protected function void do_begin_tr (
    uvm_transaction  tr,
    string  stream_name,
    integer  tr_handle
)
The begin_tr and begin_child_tr methods call this function to accommodate any user-defined post-begin action.
virtual protected function void do_begin_tr ()
This user-definable callback is called by begin_tr and begin_child_tr just before the begin event is triggered.
protected virtual task do_block(
    uvm_reg_block  blk
)
Test all of the memories in a given block
protected virtual task do_block(
    uvm_reg_block  blk
)
Test all of the memories in a given block
protected virtual task do_block(
    uvm_reg_block  blk
)
Test all of the registers in a block
protected virtual task do_block(
    uvm_reg_block  blk
)
Test all of the registers in a given block
protected virtual task do_block(
    uvm_reg_block  blk
)
Test all of the registers in a given block
protected virtual task do_block(
    uvm_reg_block  blk
)
Test all of the registers and memories in a block
virtual task do_bus_read (
    uvm_reg_item  rw,
    uvm_sequencer_base  sequencer,
    uvm_reg_adapter  adapter
)
Perform a bus read operation.
virtual task do_bus_write (
    uvm_reg_item  rw,
    uvm_sequencer_base  sequencer,
    uvm_reg_adapter  adapter
)
Perform a bus write operation.
protected virtual function void do_close(
    time  close_time
)
Callback triggered via close.
protected virtual function void do_close(
    time  close_time
)
Callback triggered via uvm_recorder::close.
protected virtual function void do_close()
Callback triggered via uvm_tr_stream::close.
protected virtual function void do_close()
Callback triggered via close.
protected virtual function bit do_close_db()
Close the backend connection to the database.
pure virtual protected function bit do_close_db()
Backend implementation of close_db
virtual function bit do_compare (
    uvm_object  rhs,
    uvm_comparer  comparer
)
The do_compare method is the user-definable hook called by the compare method.
virtual function void do_copy (
    uvm_object  rhs
)
The do_copy method is the user-definable hook called by the copy method.
virtual function void do_copy(
    uvm_object  rhs
)
Copy the rhs object into this object.
function void do_copy (
    uvm_object  rhs
)
copies all message statistic severity,id counts to the destination uvm_report_server the copy is cummulative (only items from the source are transferred, already existing entries are not deleted, existing entries/counts are overridden when they exist in the source set)
virtual protected function void do_end_tr (
    uvm_transaction  tr,
    integer  tr_handle
)
The end_tr method calls this function to accommodate any user-defined post-end action.
virtual protected function void do_end_tr ()
This user-definable callback is called by end_tr just before the end event is triggered.
protected virtual function void do_establish_link(
    uvm_link_base  link
)
Establishes a link between two elements in the database
pure virtual protected function void do_establish_link(
    uvm_link_base  link
)
Backend implementation of establish_link
protected virtual function void do_free()
Callback triggered via free.
protected virtual function void do_free()
Callback triggered via uvm_recorder::free.
protected virtual function void do_free()
Callback triggered via uvm_tr_stream::free.
protected virtual function void do_free()
Callback triggered via free.
virtual function uvm_object do_get_lhs()
Retrieves the left-hand-side (Cause)
pure virtual function uvm_object do_get_lhs()
Callback for retrieving the left-hand-side
virtual function uvm_object do_get_lhs()
Retrieves the left-hand-side (Parent)
virtual function uvm_object do_get_lhs()
Retrieves the left-hand-side
virtual function uvm_object do_get_rhs()
Retrieves the right-hand-side (Effect)
pure virtual function uvm_object do_get_rhs()
Callback for retrieving the right-hand-side
virtual function uvm_object do_get_rhs()
Retrieves the right-hand-side (Child)
virtual function uvm_object do_get_rhs()
Retrieves the right-hand-side
virtual function void do_kill()
This function is a user hook that is called whenever a sequence is terminated by using either sequence.kill() or sequencer.stop_sequences() (which effectively calls sequence.kill()).
protected virtual function void do_open(
    uvm_tr_stream  stream,
    time  open_time,
    string  type_name
)
Callback triggered via uvm_tr_stream::open_recorder.
protected virtual function void do_open(
    uvm_tr_stream  stream,
    time  open_time,
    string  type_name
)
Callback triggered via uvm_tr_stream::open_recorder.
protected virtual function void do_open(
    uvm_tr_database  db,
    string  scope,
    string  stream_type_name
)
Callback triggered via uvm_tr_database::open_stream.
protected virtual function void do_open(
    uvm_tr_database  db,
    string  scope,
    string  stream_type_name
)
Callback triggered via uvm_tr_database::open_stream.
protected virtual function bit do_open_db()
Open the backend connection to the database.
pure virtual protected function bit do_open_db()
Backend implementation of open_db
protected virtual function uvm_recorder do_open_recorder(
    string  name,
    time  open_time,
    string  type_name
)
Marks the beginning of a new record in the stream
protected virtual function uvm_recorder do_open_recorder(
    string  name,
    time  open_time,
    string  type_name
)
Marks the beginning of a new record in the stream.
protected virtual function uvm_tr_stream do_open_stream(
    string  name,
    string  scope,
    string  type_name
)
Provides a reference to a stream within the database.
pure virtual protected function uvm_tr_stream do_open_stream(
    string  name,
    string  scope,
    string  type_name
)
Backend implementation of open_stream
virtual function void do_pack (
    uvm_packer  packer
)
The do_pack method is the user-definable hook called by the pack methods.
protected task do_post_read(
    uvm_reg_item  rw
)
Execute the post-read callbacks
protected task do_post_write(
    uvm_reg_item  rw
)
Execute the post-write callbacks
protected task do_pre_read(
    uvm_reg_item  rw
)
Execute the pre-read callbacks
protected task do_pre_write(
    uvm_reg_item  rw
)
Execute the pre-write callbacks
virtual function void do_predict(
    uvm_reg_item  rw,   
    uvm_predict_e  kind  =  UVM_PREDICT_DIRECT,
    uvm_reg_byte_en_t  be  =  -1
)
Updates the abstract (mirror) FIFO based on write() and read() operations.
virtual function void do_print (
    uvm_printer  printer
)
The do_print method is the user-definable hook called by print and sprint that allows users to customize what gets printed or sprinted beyond the field information provided by the `uvm_field_* macros, Utility and Field Macros for Components and Objects.
function void do_print (
    uvm_printer  printer
)
Implementation of do_print which is called by print().
virtual task do_read(
    uvm_reg_item  rw
)
Perform a read operation.
virtual function void do_record (
    uvm_recorder  recorder
)
The do_record method is the user-definable hook called by the record method.
pure virtual protected function void do_record_field(
    string  name,
    uvm_bitstream_t  value,
    int  size,
    uvm_radix_enum  radix
)
Records an integral field (less than or equal to 4096 bits).
protected virtual function void do_record_field(
    string  name,
    uvm_bitstream_t  value,
    int  size,
    uvm_radix_enum  radix
)
Records an integral field (less than or equal to 4096 bits).
pure virtual protected function void do_record_field_int(
    string  name,
    uvm_integral_t  value,
    int  size,
    uvm_radix_enum  radix
)
Records an integral field (less than or equal to 64 bits).
protected virtual function void do_record_field_int(
    string  name,
    uvm_integral_t  value,
    int  size,
    uvm_radix_enum  radix
)
Records an integral field (less than or equal to 64 bits).
pure virtual protected function void do_record_field_real(
    string  name,
    real  value
)
Records a real field.
protected virtual function void do_record_field_real(
    string  name,
    real  value
)
Record a real field.
pure virtual protected function void do_record_generic(
    string  name,
    string  value,
    string  type_name
)
Records a name/value pair, where value has been converted to a string.
protected virtual function void do_record_generic(
    string  name,
    string  value,
    string  type_name
)
Records a name/value pair, where value has been converted to a string.
pure virtual protected function void do_record_object(
    string  name,
    uvm_object  value
)
Records an object field.
protected virtual function void do_record_object(
    string  name,
    uvm_object  value
)
Record an object field.
pure virtual protected function void do_record_string(
    string  name,
    string  value
)
Records a string field.
protected virtual function void do_record_string(
    string  name,
    string  value
)
Records a string field.
pure virtual protected function void do_record_time(
    string  name,
    time  value
)
Records a time field.
protected virtual function void do_record_time(
    string  name,
    time  value
)
Records a time field.
virtual task do_reg_item(
    uvm_reg_item  rw
)
Executes the given register transaction, rw, via the sequencer on which this sequence was started (i.e.
virtual function void do_set_lhs(
    uvm_object  lhs
)
Sets the left-hand-side (Cause)
pure virtual function void do_set_lhs(
    uvm_object  lhs
)
Callback for setting the left-hand-side
virtual function void do_set_lhs(
    uvm_object  lhs
)
Sets the left-hand-side (Parent)
virtual function void do_set_lhs(
    uvm_object  lhs
)
Sets the left-hand-side
virtual function void do_set_rhs(
    uvm_object  rhs
)
Sets the right-hand-side (Effect)
pure virtual function void do_set_rhs(
    uvm_object  rhs
)
Callback for setting the right-hand-side
virtual function void do_set_rhs(
    uvm_object  rhs
)
Sets the right-hand-side (Child)
virtual function void do_set_rhs(
    uvm_object  rhs
)
Sets the right-hand-side
virtual function void do_unpack (
    uvm_packer  packer
)
The do_unpack method is the user-definable hook called by the unpack method.
virtual task do_write(
    uvm_reg_item  rw
)
Perform a write operation.
virtual function void drop_objection (
    uvm_object  obj  =  null,
    string  description  =  "",
    int  count  =  1
)
Drops the number of objections for the source object by count, which defaults to 1.
virtual function void drop_objection (
    uvm_object  obj,   
    string  description  =  "",
    int  count  =  1
)
Drop an objection to ending this phase
virtual function void dropped (
    uvm_objection  objection,
    uvm_object  source_obj,
    string  description,
    int  count
)
The dropped callback is called when this or a descendant of this component instance drops the specified objection.
virtual function void dropped (
    uvm_object  obj,
    uvm_object  source_obj,
    string  description,
    int  count
)
Objection callback that is called when a drop_objection has reached obj.
virtual function void dropped (
    uvm_objection  objection,
    uvm_object  obj,
    uvm_object  source_obj,
    string  description,
    int  count
)
Objection dropped callback function.
static function void dump()
Dump all the resources in the resource pool.
function void dump(
    bit  audit  =  0
)
dump the entire resource pool.
virtual function string emit ()
Emits a string representing the contents of an object in a format defined by an extension of this object.
virtual function string emit()
Formats the collected information from prior calls to print_* into table format.
virtual function string emit()
Formats the collected information from prior calls to print_* into hierarchical tree format.
function void enable_recording (
    uvm_tr_stream  stream
)
Turns on recording to the stream specified.
virtual function void encode(
    ref  uvm_reg_data_t  data[]
)
Data encoder
virtual function void end_of_elaboration_phase(
    uvm_phase  phase
)
The uvm_end_of_elaboration_phase phase implementation method.
function void end_prematurely()
Set a flag to cause the phase to end prematurely.
function void end_tr (
    uvm_transaction  tr,   
    time  end_time  =  0,
    bit  free_handle  =  1
)
This function marks the end of a transaction, tr, by this component.
function void end_tr (
    time  end_time  =  0,
    bit  free_handle  =  1
)
This function indicates that the transaction execution has ended.
virtual function void end_v()
This method will be invoked by the visitor after the last NODE is visited
function void establish_link(
    uvm_link_base  link
)
Establishes a link between two elements in the database
virtual function void exec_func(
    uvm_component  comp,
    uvm_phase  phase
)
Implements the functor/delegate functionality for a function phase type comp - the component to execute the functionality upon phase - the phase schedule that originated this phase call
virtual task exec_task(
    uvm_component  comp,
    uvm_phase  phase
)
Implements the functor/delegate functionality for a task phase type comp - the component to execute the functionality upon phase - the phase schedule that originated this phase call
virtual function void execute(
    uvm_component  comp,
    uvm_phase  phase
)
Executes the bottom-up phase phase for the component comp.
virtual function void execute(
    uvm_component  comp,
    uvm_phase  phase
)
Fork the task-based phase phase for the component comp.
virtual function void execute(
    uvm_component  comp,
    uvm_phase  phase
)
Executes the top-down phase phase for the component comp.
virtual task execute_item(
    uvm_sequence_item  item
)
Executes the given transaction item directly on this sequencer.
virtual function void execute_report_message(
    uvm_report_message  report_message,
    string  composed_message
)
Processes the provided message per the actions contained within.
pure virtual function void execute_report_message(
    uvm_report_message  report_message,
    string  composed_message
)
Processes the provided message per the actions contained within.
static function bit exists(
    uvm_component  cntxt,   
    string  inst_name,   
    string  field_name,   
    bit  spell_chk  = 
)
Check if a value for field_name is available in inst_name, using component cntxt as the starting search point.
virtual function int exists (
    KEY  key
)
Returns 1 if an item with the given key exists in the pool, 0 otherwise.
virtual function void extract_phase(
    uvm_phase  phase
)
The uvm_extract_phase phase implementation method.
virtual function void final_phase(
    uvm_phase  phase
)
The uvm_final_phase phase implementation method.
function uvm_phase find(
    uvm_phase  phase,   
    bit  stay_in_scope  =  1
)
Locate the phase node with the specified phase IMP and return its handle.
function uvm_component find (
    string  comp_match
)
function void find_all (
    string  comp_match,   
    ref  uvm_component  comps[$],   
    input  uvm_component  comp  =  null
)
Returns the component handle (find) or list of components handles (find_all) matching a given string.
static function types_t find_all(
    uvm_component  start
)
Recursively finds all component instances of the parameter type TYPE, starting with the component given by start.
static function uvm_reg_block find_block(
    input  string  name,   
    input  uvm_reg_block  root  =  null,
    input  uvm_object  accessor  =  null
)
Find the first block whose hierarchical names match the specified name glob.
static function int find_blocks(
    input  string  name,   
    ref  uvm_reg_block  blks[$],   
    input  uvm_reg_block  root  =  null,
    input  uvm_object  accessor  =  null
)
Find the blocks whose hierarchical names match the specified name glob.
function uvm_phase find_by_name(
    string  name,   
    bit  stay_in_scope  =  1
)
Locate a phase node with the specified name and return its handle.
virtual function uvm_object_wrapper find_override_by_name (
    string  requested_type_name,
    string  full_inst_path
)
These methods return the proxy to the object that would be created given the arguments.
pure virtual function uvm_object_wrapper find_override_by_name (
    string  requested_type_name,
    string  full_inst_path
)
These methods return the proxy to the object that would be created given the arguments.
virtual function uvm_object_wrapper find_override_by_type (
    uvm_object_wrapper  requested_type,
    string  full_inst_path
)
pure virtual function uvm_object_wrapper find_override_by_type (
    uvm_object_wrapper  requested_type,
    string  full_inst_path
)
function uvm_resource_types::rsrc_q_t find_unused_resources()
Locate all the resources that have at least one write and no reads
pure virtual function uvm_object_wrapper find_wrapper_by_name (
    string  type_name
)
This method returns the uvm_object_wrapper associated with a given type_name.
virtual task finish_item (
    uvm_sequence_item  item,   
    int  set_priority  =  -1
)
finish_item, together with start_item together will initiate operation of a sequence_item.
function CB first()
Returns the first valid (enabled) callback of the callback type (or a derivative) that is in the queue of the context object.
virtual function int first (
    ref  KEY  key
)
Returns the key of the first item stored in the pool.
virtual function void flush()
This method sets m_matches and m_mismatches back to zero.
virtual function void flush()
Removes all entries from the FIFO, after which used returns 0 and is_empty returns 1.
function uvm_mem_region for_each(
    bit  reset  =  0
)
Iterate over all currently allocated regions
static function string format_action(
    uvm_action  action
)
Returns a string representation of the action, e.g., “DISPLAY”.
virtual function string format_footer()
Hook to override base footer with a custom footer.
virtual function string format_header()
Hook to override base header with a custom header.
virtual function string format_row (
    uvm_printer_row_info  row
)
Hook for producing custom output of a single field (row).
function void free(
    time  close_time  =  0
)
Frees this recorder
function void free()
Frees this stream.
virtual function void free_tr_stream(
    uvm_tr_stream  stream
)
Frees the internal references associated with stream.
static function bit from_name(
    string  name,
    ref  value
)
Attempts to convert a string name to an enumerated value.