Method Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
A
 accept
 accept_tr
 add
 add_by_name
 add_callback
 add_coverage
 add_hdl_path
 add_hdl_path_slice
 add_int
 add_mem
 add_object
 add_path
 add_reg
 add_sequence
 add_sequences
 add_slice
 add_string
 add_submap
 add_typewide_sequence
 add_typewide_sequences
 add_uvm_phases
 adjust_name
 all_dropped
 allocate
 apply_config_settings
B
 b_transport
 backdoor
 backdoor_read
 backdoor_read_func
 backdoor_watch
 backdoor_write
 begin_child_tr
 begin_tr
 begin_v
 body
 build_coverage
 build_phase
 burst_read
 burst_write
 bus2reg
C
 callback_mode
 can_get
 can_peek
 can_put
 cancel
 capacity
 catch
 check_config_usage
 check_data_width
 check_phase
 clear
 clear_extension
 clear_extensions
 clear_hdl_path
 clear_response_queue
 clone
 close
 close_db
 compare
 compare_field
 compare_field_int
 compare_field_real
 compare_object
 compare_string
 compose_report_message
 configure
 configure_phase
 connect
 Connect
 connect_phase
 convert2string
 copy
 create
 create_component
 create_component_by_name
 create_component_by_type
 create_item
 create_map
 create_object
 create_object_by_name
 create_object_by_type
 current_grabber
pure virtual function void accept(
    STRUCTURE  s,   
    VISITOR  v,   
    uvm_structure_proxy#(STRUCTURE)  p,   
    bit  invoke_begin_end  =  1
)
Calling this function will traverse through s (and every subnode of s).
function void accept_tr (
    uvm_transaction  tr,   
    time  accept_time  =  0
)
This function marks the acceptance of a transaction, tr, by this component.
function void accept_tr (
    time  accept_time  =  0
)
Calling accept_tr indicates that the transaction item has been received by a consumer component.
static function void add(
    obj,   
    uvm_callback  cb,   
    uvm_apprepend  ordering  =  UVM_APPEND
)
Registers the given callback object, cb, with the given obj handle.
function void add (
    uvm_component  comp
)
Add a single component to the set of components to be monitored.
function void add(
    uvm_phase  phase,   
    uvm_phase  with_phase  =  null,
    uvm_phase  after_phase  =  null,
    uvm_phase  before_phase  =  null
)
Build up a schedule structure inserting phase by phase, specifying linkage
virtual function void add (
    KEY  key,
    item
)
Adds the given (key, item) pair to the pool.
static function void add(
    uvm_reg  rg
)
Add this callback to the specified register and its contained fields.
static function void add(
    uvm_reg  rg
)
Add this callback to the specified register and its contained fields.
static function void add_by_name(
    string  name,   
    uvm_callback  cb,   
    uvm_component  root,   
    uvm_apprepend  ordering  =  UVM_APPEND
)
Registers the given callback object, cb, with one or more uvm_components.
virtual function void add_callback (
    uvm_event_callback#(T)  cb,   
    bit  append  =  1
)
Registers a callback object, cb, with this event.
virtual protected function void add_coverage(
    uvm_reg_cvr_t  models
)
Specify that additional coverage models are available.
virtual protected function void add_coverage(
    uvm_reg_cvr_t  models
)
Specify that additional coverage models are available.
virtual protected function void add_coverage(
    uvm_reg_cvr_t  models
)
Specify that additional coverage models are available.
function void add_hdl_path (
    uvm_hdl_path_slice  slices[],   
    string  kind  =  "RTL"
)
Add an HDL path
function void add_hdl_path (
    uvm_hdl_path_slice  slices[],   
    string  kind  =  "RTL"
)
Add an HDL path
function void add_hdl_path (
    string  path,   
    string  kind  =  "RTL"
)
Add an HDL path
function void add_hdl_path (
    string  path,   
    string  kind  =  "RTL"
)
Add an HDL path
function void add_hdl_path_slice(
    string  name,   
    int  offset,   
    int  size,   
    bit  first  =  0,
    string  kind  =  "RTL"
)
Add the specified HDL slice to the HDL path for the specified design abstraction.
function void add_hdl_path_slice(
    string  name,   
    int  offset,   
    int  size,   
    bit  first  =  0,
    string  kind  =  "RTL"
)
Append the specified HDL slice to the HDL path of the register instance for the specified design abstraction.
protected function void add_int(
    string  name,   
    uvm_bitstream_t  value,   
    int  size,   
    uvm_radix_enum  radix,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
Add an integral type of the name name and value value to the message.
virtual function void add_int(
    string  name,   
    uvm_bitstream_t  value,   
    int  size,   
    uvm_radix_enum  radix,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
This method adds an integral type of the name name and value value to the message.
virtual function void add_int(
    string  name,   
    uvm_bitstream_t  value,   
    int  size,   
    uvm_radix_enum  radix,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
This method adds an integral type of the name name and value value to the container.
virtual function void add_mem (
    uvm_mem  mem,   
    uvm_reg_addr_t  offset,   
    string  rights  =  "RW",
    bit  unmapped  =  0,
    uvm_reg_frontdoor  frontdoor  =  null
)
Add a memory
protected function void add_object(
    string  name,   
    uvm_object  obj,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
Adds a uvm_object of the name name and reference obj to the message.
virtual function void add_object(
    string  name,   
    uvm_object  obj,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
This method adds a uvm_object of the name name and reference obj to the message.
virtual function void add_object(
    string  name,   
    uvm_object  obj,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
This method adds a uvm_object of the name name and reference obj to the message.
function void add_path(
    string  path,   
    int  unsigned  offset  =  -1,
    int  unsigned  size  =  -1
)
Append the specified path to the path concatenation, for the specified number of bits at the specified offset.
virtual function void add_reg (
    uvm_reg  rg,   
    uvm_reg_addr_t  offset,   
    string  rights  =  "RW",
    bit  unmapped  =  0,
    uvm_reg_frontdoor  frontdoor  =  null
)
Add a register
function void add_sequence(
    uvm_object_wrapper  seq_type
)
Registers the provided sequence type with this sequence library instance.
virtual function void add_sequences(
    uvm_object_wrapper  seq_types[$]
)
Registers the provided sequence types with this sequence library instance.
function void add_slice(
    uvm_hdl_path_slice  slice
)
Append the specified slice literal to the path concatenation
protected function void add_string(
    string  name,   
    string  value,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
Adds a string of the name name and value value to the message.
virtual function void add_string(
    string  name,   
    string  value,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
This method adds a string of the name name and value value to the message.
virtual function void add_string(
    string  name,   
    string  value,   
    uvm_action  action  =  (UVM_LOG|UVM_RM_RECORD)
)
This method adds a string of the name name and value value to the message.
virtual function void add_submap (
    uvm_reg_map  child_map,
    uvm_reg_addr_t  offset
)
Add an address map
static function void add_typewide_sequence(
    uvm_object_wrapper  seq_type
)
Registers the provided sequence type with this sequence library type.
static function void add_typewide_sequences(
    uvm_object_wrapper  seq_types[$]
)
Registers the provided sequence types with this sequence library type.
static function void add_uvm_phases(
    uvm_phase  schedule
)
Appends to the given schedule the built-in UVM phases.
virtual protected function string adjust_name (
    string  id,   
    byte  scope_separator  =  "."
)
Prints a field’s name, or id, which is the full instance name.
virtual task all_dropped (
    uvm_objection  objection,
    uvm_object  source_obj,
    string  description,
    int  count
)
The all_droppped callback is called when all objections have been dropped by this component and all its descendants.
virtual task all_dropped (
    uvm_object  obj,
    uvm_object  source_obj,
    string  description,
    int  count
)
Objection callback that is called when a drop_objection has reached obj, and the total count for obj goes to zero.
virtual task all_dropped (
    uvm_objection  objection,
    uvm_object  obj,
    uvm_object  source_obj,
    string  description,
    int  count
)
Objection all_dropped callback function.
virtual function uvm_mem_region allocate(
    longint  unsigned  n,   
    uvm_mem_mam  mam,   
    uvm_mem_mam_policy  alloc  =  null
)
Randomly implement, resize or relocate a virtual register array
virtual function void apply_config_settings (
    bit  verbose  =  0
)
Searches for all config settings matching this component’s instance path.
virtual task b_transport(
    t,
    uvm_tlm_time  delay
)
Execute a blocking transaction.
static function uvm_reg_map backdoor()
Return the backdoor pseudo-map singleton
virtual protected task backdoor_read(
    uvm_reg_item  rw
)
User-define backdoor read access
virtual task backdoor_read(
    uvm_reg_item  rw
)
User-define backdoor read access
virtual function uvm_status_e backdoor_read_func(
    uvm_reg_item  rw
)
User-defined backdoor read access
virtual function uvm_status_e backdoor_read_func(
    uvm_reg_item  rw
)
User-defined backdoor read access
virtual task backdoor_watch()
User-defined DUT register change monitor
virtual task backdoor_write(
    uvm_reg_item  rw
)
User-defined backdoor read access
virtual task backdoor_write(
    uvm_reg_item  rw
)
User-defined backdoor read access
function integer begin_child_tr (
    uvm_transaction  tr,   
    integer  parent_handle  =  0,
    string  stream_name  =  "main",
    string  label  =  "",
    string  desc  =  "",
    time  begin_time  =  0
)
This function marks the start of a child transaction, tr, by this component.
function integer begin_child_tr (
    time  begin_time  =  0,
    integer  parent_handle  =  0
)
This function indicates that the transaction has been started as a child of a parent transaction given by parent_handle.
function integer begin_tr (
    uvm_transaction  tr,   
    string  stream_name  =  "main",
    string  label  =  "",
    string  desc  =  "",
    time  begin_time  =  0,
    integer  parent_handle  =  0
)
This function marks the start of a transaction, tr, by this component.
function integer begin_tr (
    time  begin_time  =  0
)
This function indicates that the transaction has been started and is not the child of another transaction.
virtual function void begin_v()
This method will be invoked by the visitor before the first NODE is visited
virtual task body()
Execute the Memory Access sequence.
virtual task body()
Performs the walking-ones algorithm on each map of the memory specified in mem.
virtual task body()
Executes the mem walk sequence, one block at a time.
virtual task body()
Executes the Register Access sequence.
virtual task body()
Executes the Register Bit Bash sequence.
virtual task body()
Executes any or all the built-in register and memory sequences.
virtual task body()
Executes the Shared Register and Memory sequence
virtual task body()
Continually gets a register transaction from the configured upstream sequencer, reg_seqr, and executes the corresponding bus transaction via do_reg_item.
virtual task body()
This is the user-defined task where the main sequence code resides.
protected function uvm_reg_cvr_t build_coverage(
    uvm_reg_cvr_t  models
)
Check if all of the specified coverage model must be built.
protected function uvm_reg_cvr_t build_coverage(
    uvm_reg_cvr_t  models
)
Check if all of the specified coverage models must be built.
protected function uvm_reg_cvr_t build_coverage(
    uvm_reg_cvr_t  models
)
Check if all of the specified coverage model must be built.
virtual function void build_phase(
    uvm_phase  phase
)
The uvm_build_phase phase implementation method.
virtual task burst_read(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    ref  uvm_reg_data_t  value[],   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read values from memory locations
task burst_read(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    output  uvm_reg_data_t  value[],   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Read from a set of memory location in the region.
virtual task burst_write(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value[],   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write the specified values in memory locations
task burst_write(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value[],   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write to a set of memory location in the region.
pure virtual function void bus2reg(
    uvm_sequence_item  bus_item,
    ref  uvm_reg_bus_op  rw
)
Extensions of this class must implement this method to copy members of the given bus-specific bus_item to corresponding members of the provided bus_rw instance.
virtual function void bus2reg(
    uvm_sequence_item  bus_item,
    ref  uvm_reg_bus_op  rw
)
Converts a uvm_tlm_gp item to a uvm_reg_bus_op.
function bit callback_mode(
    int  on  =  -1
)
Enable/disable callbacks (modeled like rand_mode and constraint_mode).
virtual function bit can_get()
Returns 1 if a new transaction can be provided immediately upon request, 0 otherwise.
virtual function bit can_peek()
Returns 1 if a new transaction is available; 0 otherwise.
virtual function bit can_put()
Returns 1 if the component is ready to accept the transaction; 0 otherwise.
virtual function void cancel ()
Decrements the waiter count by one.
virtual function void cancel ()
Decrements the number of waiters on the event.
function int unsigned capacity()
The maximum number of entries, or depth, of the FIFO.
pure virtual function action_e catch()
This is the method that is called for each registered report catcher.
function void check_config_usage (
    bit  recurse  =  1
)
Check all configuration settings in a components configuration table to determine if the setting has been used, overridden or not used.
protected static function bit check_data_width(
    int  unsigned  width
)
Check that the specified data width (in bits) is less than or equal to the value of `UVM_REG_DATA_WIDTH
virtual function void check_phase(
    uvm_phase  phase
)
The uvm_check_phase phase implementation method.
virtual function void check_phase(
    uvm_phase  phase
)
Checks that no pending register transactions are still queued.
virtual function void clear(
    uvm_object  obj  =  null
)
Immediately clears the objection state.
function void clear_extension(
    uvm_tlm_extension_base  ext_handle
)
Remove the instance-specific extension bound under the specified key.
function void clear_extensions()
Remove all instance-specific extensions
function void clear_hdl_path (
    string  kind  =  "RTL"
)
Delete HDL paths
function void clear_hdl_path (
    string  kind  =  "RTL"
)
Delete HDL paths
function void clear_hdl_path (
    string  kind  =  "RTL"
)
Delete HDL paths
function void clear_hdl_path (
    string  kind  =  "RTL"
)
Delete HDL paths
virtual function void clear_response_queue()
Empties the response queue for this sequence.
virtual function uvm_object clone ()
The clone method creates and returns an exact copy of this object.
function void close(
    time  close_time  =  0
)
Closes this recorder.
function void close()
Closes this stream.
function bit close_db()
Closes the backend connection to the database.
function bit compare (
    uvm_object  rhs,   
    uvm_comparer  comparer  =  null
)
Deep compares members of this data object with those of the object provided in the rhs (right-hand side) argument, returning 1 on a match, 0 otherwise.
virtual function bit compare_field (
    string  name,   
    uvm_bitstream_t  lhs,   
    uvm_bitstream_t  rhs,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX
)
Compares two integral values.
virtual function bit compare_field_int (
    string  name,   
    uvm_integral_t  lhs,   
    uvm_integral_t  rhs,   
    int  size,   
    uvm_radix_enum  radix  =  UVM_NORADIX
)
This method is the same as compare_field except that the arguments are small integers, less than or equal to 64 bits.
virtual function bit compare_field_real (
    string  name,
    real  lhs,
    real  rhs
)
This method is the same as compare_field except that the arguments are real numbers.
virtual function bit compare_object (
    string  name,
    uvm_object  lhs,
    uvm_object  rhs
)
Compares two class objects using the policy knob to determine whether the comparison should be deep, shallow, or reference.
virtual function bit compare_string (
    string  name,
    string  lhs,
    string  rhs
)
Compares two string variables.
virtual function string compose_report_message(
    uvm_report_message  report_message,   
    string  report_object_name  =  ""
)
Constructs the actual string sent to the file or command line from the severity, component name, report id, and the message itself.
pure virtual function string compose_report_message(
    uvm_report_message  report_message,   
    string  report_object_name  =  ""
)
Constructs the actual string sent to the file or command line from the severity, component name, report id, and the message itself.
function void configure (
    uvm_reg_block  parent,   
    string  hdl_path  =  ""
)
Instance-specific configuration
function void configure (
    uvm_reg_block  blk_parent,   
    uvm_reg_file  regfile_parent  =  null,
    string  hdl_path  =  ""
)
Instance-specific configuration
function void configure(
    uvm_reg_block  parent  =  null,
    string  hdl_path  =  ""
)
Instance-specific configuration
function void configure(
    uvm_reg  parent,
    int  unsigned  size,
    int  unsigned  lsb_pos,
    string  access,
    bit  volatile,
    uvm_reg_data_t  reset,
    bit  has_reset,
    bit  is_rand,
    bit  individually_accessible
)
Instance-specific configuration
function void configure (
    uvm_reg_block  blk_parent,   
    uvm_reg_file  regfile_parent,   
    string  hdl_path  =  ""
)
Configure a register file instance
function void configure (
    uvm_reg  idx,   
    uvm_reg  reg_a[],   
    uvm_reg_block  blk_parent,   
    uvm_reg_file  regfile_parent  =  null
)
Configure the indirect data register.
function void configure(
    uvm_reg_block  parent,   
    uvm_reg_addr_t  base_addr,   
    int  unsigned  n_bytes,   
    uvm_endianness_e  endian,   
    bit  byte_addressing  =  1
)
Instance-specific configuration
function void configure(
    uvm_reg_block  parent,   
    uvm_mem  mem  =  null,
    longint  unsigned  size  =  0,
    uvm_reg_addr_t  offset  =  0,
    int  unsigned  incr  =  0
)
Instance-specific configuration
function void configure(
    uvm_vreg  parent,
    int  unsigned  size,
    int  unsigned  lsb_pos
)
Instance-specific configuration
virtual task configure_phase(
    uvm_phase  phase
)
The uvm_configure_phase phase implementation method.
virtual function void connect (
    this_type  provider
)
Connects this port to the given provider port.
function void connect(
    this_type  provider
)
Connect this socket to the specified uvm_tlm_nb_initiator_socket
function void connect(
    this_type  provider
)
Connect this socket to the specified uvm_tlm_nb_initiator_socket
Connect this socket to the specified uvm_tlm_b_target_socket
Connect this socket to the specified uvm_tlm_b_initiator_socket
Connect this socket to the specified uvm_tlm_nb_target_socket
virtual function void connect_phase(
    uvm_phase  phase
)
The uvm_connect_phase phase implementation method.
function string convert2string()
Image of the state of the manager
virtual function string convert2string()
This virtual function is a user-definable hook, called directly by the user, that allows users to provide object information in the form of a string.
virtual function string convert2string()
Returns a string showing the contents of this transaction.
function void copy (
    uvm_object  rhs
)
The copy makes this object a copy of the specified object.
static function T create(
    string  name,   
    uvm_component  parent,   
    string  contxt  =  ""
)
Returns an instance of the component type, T, represented by this proxy, subject to any factory overrides based on the context provided by the parent’s full name.
virtual function uvm_object create (
    string  name  =  ""
)
The create method allocates a new object of the same type as this object and returns it via a base uvm_object handle.
static function T create (
    string  name  =  "",
    uvm_component  parent  =  null,
    string  contxt  =  ""
)
Returns an instance of the object type, T, represented by this proxy, subject to any factory overrides based on the context provided by the parent’s full name.
virtual function uvm_object create (
    string  name  =  ""
)
function uvm_component create_component (
    string  requested_type_name,
    string  name
)
A convenience function for uvm_factory::create_component_by_name, this method calls upon the factory to create a new child component whose type corresponds to the preregistered type name, requested_type_name, and instance name, name.
virtual function uvm_component create_component (
    string  name,
    uvm_component  parent
)
Creates a component of type T having the provided name and parent.
virtual function uvm_component create_component (
    string  name,
    uvm_component  parent
)
Creates a new component, passing to its constructor the given name and parent.
virtual function uvm_component create_component_by_name (
    string  requested_type_name,   
    string  parent_inst_path  =  "",
    string  name,   
    uvm_component  parent   
)
Creates and returns a component or object of the requested type, which may be specified by type or by name.
pure virtual function uvm_component create_component_by_name (
    string  requested_type_name,   
    string  parent_inst_path  =  "",
    string  name,   
    uvm_component  parent   
)
Creates and returns a component or object of the requested type, which may be specified by type or by name.
virtual function uvm_component create_component_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name,   
    uvm_component  parent   
)
pure virtual function uvm_component create_component_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name,   
    uvm_component  parent   
)
protected function uvm_sequence_item create_item(
    uvm_object_wrapper  type_var,
    uvm_sequencer_base  l_sequencer,
    string  name
)
Create_item will create and initialize a sequence_item or sequence using the factory.
virtual function uvm_reg_map create_map(
    string  name,   
    uvm_reg_addr_t  base_addr,   
    int  unsigned  n_bytes,   
    uvm_endianness_e  endian,   
    bit  byte_addressing  =  1
)
Create an address map in this block
function uvm_object create_object (
    string  requested_type_name,   
    string  name  =  ""
)
A convenience function for uvm_factory::create_object_by_name, this method calls upon the factory to create a new object whose type corresponds to the preregistered type name, requested_type_name, and instance name, name.
virtual function uvm_object create_object(
    string  name  =  ""
)
Creates an object of type T and returns it as a handle to a uvm_object.
virtual function uvm_object create_object (
    string  name  =  ""
)
Creates a new object with the optional name.
virtual function uvm_object create_object_by_name (
    string  requested_type_name,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
pure virtual function uvm_object create_object_by_name (
    string  requested_type_name,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
virtual function uvm_object create_object_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
pure virtual function uvm_object create_object_by_type (
    uvm_object_wrapper  requested_type,   
    string  parent_inst_path  =  "",
    string  name  =  ""
)
virtual function uvm_sequence_base current_grabber()
Returns a reference to the sequence that currently has a lock or grab on the sequence.