Method Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
U
 ungrab
 unlock
 unpack
 unpack_bits
 unpack_bytes
 unpack_field
 unpack_field_int
 unpack_ints
 unpack_object
 unpack_real
 unpack_string
 unpack_time
 unsync
 update
 update_reg
 use_record_attribute
 use_response_handler
 used
 user_priority_arbitration
 uvm_bits_to_string
 uvm_get_report_object
 uvm_hdl_check_path
 uvm_hdl_deposit
 uvm_hdl_force
 uvm_hdl_force_time
 uvm_hdl_read
 uvm_hdl_release
 uvm_hdl_release_and_read
 uvm_is_match
 uvm_process_report_message
 uvm_report
 uvm_report_enabled
 uvm_report_error
 uvm_report_fatal
 uvm_report_info
 uvm_report_warning
 uvm_split_string
 uvm_string_to_bits
 uvm_wait_for_nba_region
V
 visit
W
 wait_for
 wait_for_change
 wait_for_grant
 wait_for_item_done
 wait_for_relevant
 wait_for_sequence_state
 wait_for_sequences
 wait_for_state
 wait_modified
 wait_off
 wait_on
 wait_ptrigger
 wait_ptrigger_data
 wait_trigger
 wait_trigger_data
 write
 write_attribute
 write_attribute_int
 write_by_name
 write_by_type
 write_mem
 write_mem_by_name
 write_reg
 write_reg_by_name
function void ungrab(
    uvm_sequencer_base  sequencer  =  null
)
Removes any locks or grabs obtained by this sequence on the specified sequencer.
virtual function void ungrab(
    uvm_sequence_base  sequence_ptr
)
Removes any locks and grabs obtained by the specified sequence_ptr.
function void unlock(
    uvm_sequencer_base  sequencer  =  null
)
Removes any locks or grabs obtained by this sequence on the specified sequencer.
virtual function void unlock(
    uvm_sequence_base  sequence_ptr
)
Removes any locks and grabs obtained by the specified sequence_ptr.
function void unlock()
Unlocks the data value
function int unpack (
    ref  bit  bitstream[],   
    input  uvm_packer  packer  =  null
)
virtual function void unpack_bits(
    ref  bit  value[],   
    input  int  size  =  -1
)
Unpacks bits from the pack array into an unpacked array of bits.
function int unpack_bytes (
    ref byte  unsigned  bytestream[],   
    input  uvm_packer  packer  =  null
)
virtual function void unpack_bytes(
    ref  byte  value[],   
    input  int  size  =  -1
)
Unpacks bits from the pack array into an unpacked array of bytes.
virtual function uvm_bitstream_t unpack_field (
    int  size
)
Unpacks bits from the pack array and returns the bit-stream that was unpacked.
virtual function uvm_integral_t unpack_field_int (
    int  size
)
Unpacks bits from the pack array and returns the bit-stream that was unpacked.
function int unpack_ints (
    ref int  unsigned  intstream[],   
    input  uvm_packer  packer  =  null
)
The unpack methods extract property values from an array of bits, bytes, or ints.
virtual function void unpack_ints(
    ref  int  value[],   
    input  int  size  =  -1
)
Unpacks bits from the pack array into an unpacked array of ints.
virtual function void unpack_object (
    uvm_object  value
)
Unpacks an object and stores the result into value.
virtual function real unpack_real ()
Unpacks the next 64 bits of the pack array and places them into a real variable.
virtual function string unpack_string (
    int  num_chars  =  -1
)
Unpacks a string.
virtual function time unpack_time ()
Unpacks the next 64 bits of the pack array and places them into a time variable.
function void unsync(
    uvm_domain  target,   
    uvm_phase  phase  =  null,
    uvm_phase  with_phase  =  null
)
Remove synchronization between two domains, fully or partially
virtual task update(
    output  uvm_status_e  status,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Updates the content of the register in the design to match the desired value
virtual task update(
    output  uvm_status_e  status,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Batch update of register.
virtual task update(
    output  uvm_status_e  status,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Pushes (writes) all values preloaded using set() to the DUT.
virtual task update_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Updates the given register rg using uvm_reg::update, supplying ‘this’ as the parent argument.
virtual function bit use_record_attribute()
Indicates that this recorder does (or does not) support usage of the `uvm_record_attribute macro.
function void use_response_handler(
    bit  enable
)
When called with enable set to 1, responses will be sent to the response handler.
virtual function int used()
Returns the number of entries put into the FIFO.
virtual function integer user_priority_arbitration(
    integer  avail_sequences[$]
)
When the sequencer arbitration mode is set to UVM_SEQ_ARB_USER (via the set_arbitration method), the sequencer will call this function each time that it needs to arbitrate among sequences.
function string uvm_bits_to_string(
    logic  [UVM_LARGE_STRING:0]  str
)
Converts an input bit-vector to its string equivalent.
function uvm_report_object uvm_get_report_object()
Returns the nearest uvm_report_object when called.
function uvm_report_object uvm_get_report_object()
Returns the nearest uvm_report_object when called.
import "DPI-C" context function int uvm_hdl_check_path(
    string  path
)
Checks that the given HDL path exists.
import "DPI-C" context function int uvm_hdl_deposit(
    string  path,
    uvm_hdl_data_t  value
)
Sets the given HDL path to the specified value.
import "DPI-C" context function int uvm_hdl_force(
    string  path,
    uvm_hdl_data_t  value
)
Forces the value on the given path.
task uvm_hdl_force_time(
    string  path,   
    uvm_hdl_data_t  value,   
    time  force_time  =  0
)
Forces the value on the given path for the specified amount of force_time.
import "DPI-C" context function int uvm_hdl_read(
    string  path,
    output  uvm_hdl_data_t  value
)
Gets the value at the given path.
import "DPI-C" context function int uvm_hdl_release(
    string  path
)
Releases a value previously set with uvm_hdl_force.
import "DPI-C" context function int uvm_hdl_release_and_read(
    string  path,
    inout  uvm_hdl_data_t  value
)
Releases a value previously set with uvm_hdl_force.
function bit uvm_is_match (
    string  expr,
    string  str
)
Returns 1 if the two strings match, 0 otherwise.
function void uvm_process_report_message(
    uvm_report_message  report_message
)
This method, defined in package scope, is a convenience function that delegate to the corresponding component method in uvm_top.
virtual function void uvm_process_report_message(
    uvm_report_message  report_message
)
This method takes a preformed uvm_report_message, populates it with the report object and passes it to the report handler for processing.
function void uvm_report(
    uvm_severity  severity,   
    string  id,   
    string  message,   
    int  verbosity  =  (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
protected function void uvm_report(
    uvm_severity  severity,   
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues a message using the current message’s report object.
virtual function void uvm_report(
    uvm_severity  severity,   
    string  id,   
    string  message,   
    int  verbosity  =  (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual function void uvm_report(
    uvm_severity  severity,   
    string  id,   
    string  message,   
    int  verbosity  =  (severity == uvm_severity'(UVM_ERROR)) ? UVM_LOW : (severity == uvm_severity'(UVM_FATAL)) ? UVM_NONE : UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
function int uvm_report_enabled (
    int  verbosity,   
    uvm_severity  severity  =  UVM_INFO,
    string  id  =  ""
)
Returns 1 if the configured verbosity in uvm_top for this severity/id is greater than or equal to verbosity else returns 0.
function int uvm_report_enabled(
    int  verbosity,   
    uvm_severity  severity  =  UVM_INFO,
    string  id  =  ""
)
Returns 1 if the configured verbosity for this severity/id is greater than or equal to verbosity else returns 0.
function void uvm_report_error(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_LOW,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
protected function void uvm_report_error(
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues an error message using the current message’s report object.
virtual function void uvm_report_error(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_LOW,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual function void uvm_report_error(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_LOW,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
function void uvm_report_fatal(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_NONE,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
These methods, defined in package scope, are convenience functions that delegate to the corresponding component methods in uvm_top.
protected function void uvm_report_fatal(
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues a fatal message using the current message’s report object.
virtual function void uvm_report_fatal(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_NONE,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
These are the primary reporting methods in the UVM.
virtual function void uvm_report_fatal(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_NONE,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
These are the primary reporting methods in the UVM.
function void uvm_report_info(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
protected function void uvm_report_info(
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues a info message using the current message’s report object.
virtual function void uvm_report_info(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual function void uvm_report_info(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
function void uvm_report_warning(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
protected function void uvm_report_warning(
    string  id,   
    string  message,   
    int  verbosity,   
    string  fname  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
Issues a warning message using the current message’s report object.
virtual function void uvm_report_warning(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
virtual function void uvm_report_warning(
    string  id,   
    string  message,   
    int  verbosity  =  UVM_MEDIUM,
    string  filename  =  "",
    int  line  =  0,
    string  context_name  =  "",
    bit  report_enabled_checked  =  0
)
function automatic void uvm_split_string (
    string  str,
    byte  sep,
    ref  string  values[$]
)
Returns a queue of strings, values, that is the result of the str split based on the sep.
function logic[UVM_LARGE_STRING:0] uvm_string_to_bits(
    string  str
)
Converts an input string to its bit-vector equivalent.
task uvm_wait_for_nba_region
Callers of this task will not return until the NBA region, thus allowing other processes any number of delta cycles (#0) to settle out before continuing.
pure virtual function void visit(
    NODE  node
)
This method will be invoked by the visitor for every visited node of the provided structure.
virtual task wait_for()
Waits for enough processes to reach the barrier before continuing.
task wait_for(
    uvm_objection_event  objt_event,   
    uvm_object  obj  =  null
)
Waits for the raised, dropped, or all_dropped event to occur in the given obj.
virtual local task wait_for_change(
    uvm_object  element
)
Wait for a change in the value of the register or memory element in the DUT.
virtual task wait_for_grant(
    int  item_priority  =  -1,
    bit  lock_request  =  0
)
This task issues a request to the current sequencer.
virtual task wait_for_grant(
    uvm_sequence_base  sequence_ptr,   
    int  item_priority  =  -1,
    bit  lock_request  =  0
)
This task issues a request for the specified sequence.
virtual task wait_for_item_done(
    int  transaction_id  =  -1
)
A sequence may optionally call wait_for_item_done.
virtual task wait_for_item_done(
    uvm_sequence_base  sequence_ptr,
    int  transaction_id
)
A sequence may optionally call wait_for_item_done.
virtual task wait_for_relevant()
This method is called by the sequencer when all available sequences are not relevant.
task wait_for_sequence_state(
    int  unsigned  state_mask
)
Waits until the sequence reaches one of the given state.
Waits for a sequence to have a new item available.
virtual task wait_for_sequences()
Waits for a sequence to have a new item available.
virtual task wait_for_sequences()
Waits for a sequence to have a new item available.
task wait_for_state(
    uvm_phase_state  state,   
    uvm_wait_op  op  =  UVM_EQ
)
Wait until this phase compares with the given state and op operand.
static task wait_modified(
    uvm_component  cntxt,
    string  inst_name,
    string  field_name
)
Wait for a configuration setting to be set for field_name in cntxt and inst_name.
task wait_modified()
This task blocks until the resource has been modified -- that is, a uvm_resource#(T)::write operation has been performed.
virtual task wait_off (
    bit  delta  =  0
)
If the event has already triggered and is “on”, this task waits for the event to be turned “off” via a call to reset.
virtual task wait_on (
    bit  delta  =  0
)
Waits for the event to be activated for the first time.
virtual task wait_ptrigger ()
Waits for a persistent trigger of the event.
virtual task wait_ptrigger_data (
    output  data
)
This method calls uvm_event_base::wait_ptrigger followed by get_trigger_data.
virtual task wait_trigger ()
Waits for the event to be triggered.
virtual task wait_trigger_data (
    output  data
)
This method calls uvm_event_base::wait_trigger followed by get_trigger_data.
function void write (
    input  t
)
Send specified value to all connected interface
virtual task write(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write the specified value in a memory location
task write(
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write to a memory location in the region.
virtual task write(
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write the specified value in this register
virtual task write(
    uvm_reg_item  rw
)
User-defined backdoor write operation.
virtual task write (
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write the specified value in this field
Pushes the given value to the DUT FIFO.
function void write(
    t,   
    uvm_object  accessor  =  null
)
Modify the object stored in this resource container.
pure virtual function void write(
    t
)
A pure virtual method that must be defined in each subclass.
virtual function void write(
    input  T1  t
)
Broadcasts a user-defined transaction of type T to any number of listeners.
virtual task write(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write the specified value in a virtual register
virtual task write(
    input longint  unsigned  idx,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write the specified value in a virtual field
function void write_attribute(
    string  nm,   
    uvm_bitstream_t  value,   
    uvm_radix_enum  radix,   
    integer  numbits  =  $bits(uvm_bitstream_t)
)
Outputs an integral attribute to the textual log
function void write_attribute_int(
    string  nm,   
    uvm_integral_t  value,   
    uvm_radix_enum  radix,   
    integer  numbits  =  $bits(uvm_bitstream_t)
)
Outputs an integral attribute to the textual log
static function bit write_by_name(
    input  string  scope,   
    input  string  name,   
    input  val,   
    input  uvm_object  accessor  =  null
)
write a val into the resources database.
static function bit write_by_type(
    input  string  scope,   
    input  val,   
    input  uvm_object  accessor  =  null
)
write a val into the resources database.
virtual task write_mem(
    input  uvm_mem  mem,   
    output  uvm_status_e  status,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Writes the given memory mem using uvm_mem::write, supplying ‘this’ as the parent argument.
virtual task write_mem_by_name(
    output  uvm_status_e  status,   
    input  string  name,   
    input  uvm_reg_addr_t  offset,   
    input  uvm_reg_data_t  data,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write the named memory
virtual task write_reg(
    input  uvm_reg  rg,   
    output  uvm_status_e  status,   
    input  uvm_reg_data_t  value,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Writes the given register rg using uvm_reg::write, supplying ‘this’ as the parent argument.
virtual task write_reg_by_name(
    output  uvm_status_e  status,   
    input  string  name,   
    input  uvm_reg_data_t  data,   
    input  uvm_path_e  path  =  UVM_DEFAULT_PATH,
    input  uvm_reg_map  map  =  null,
    input  uvm_sequence_base  parent  =  null,
    input  int  prior  =  -1,
    input  uvm_object  extension  =  null,
    input  string  fname  =  "",
    input  int  lineno  =  0
)
Write the named register