SystemC  2.3.1
Accellera SystemC proof-of-concept library
Namespaces | Classes | Typedefs | Enumerations | Functions | Variables
sc_core Namespace Reference

Namespaces

 sc_meta
 

Classes

class  sc_buffer
 
class  sc_clock
 
class  sc_clock_posedge_callback
 
class  sc_clock_negedge_callback
 
class  sc_event_finder
 
class  sc_event_finder_t
 
class  sc_event_queue_if
 
class  sc_event_queue
 
class  sc_export_base
 
class  sc_export
 
class  sc_export_registry
 
class  sc_fifo
 
class  sc_fifo_nonblocking_in_if
 
class  sc_fifo_blocking_in_if
 
class  sc_fifo_in_if
 
class  sc_fifo_nonblocking_out_if
 
class  sc_fifo_blocking_out_if
 
class  sc_fifo_out_if
 
class  sc_fifo_in
 
class  sc_fifo_out
 
class  sc_host_mutex
 
class  sc_interface
 
class  sc_mutex
 
class  sc_mutex_if
 
class  sc_scoped_lock
 
class  sc_port_base
 
class  sc_port_registry
 
class  sc_port_b
 
class  sc_port
 
class  sc_prim_channel
 
class  sc_prim_channel_registry
 
class  sc_semaphore
 
class  sc_semaphore_if
 
class  sc_signal
 
class  sc_signal< bool, POL >
 
class  sc_signal< sc_dt::sc_logic, POL >
 
class  sc_signal_in_if
 
class  sc_signal_in_if< bool >
 
class  sc_signal_in_if< sc_dt::sc_logic >
 
class  sc_signal_write_if
 
class  sc_signal_inout_if
 
struct  sc_trace_params
 
class  sc_in
 
class  sc_in< bool >
 
class  sc_in< sc_dt::sc_logic >
 
class  sc_inout
 
class  sc_inout< bool >
 
class  sc_inout< sc_dt::sc_logic >
 
class  sc_out
 
class  sc_signal_resolved
 
class  sc_in_resolved
 
class  sc_inout_resolved
 
class  sc_out_resolved
 
class  sc_lv_resolve
 
class  sc_signal_rv
 
class  sc_in_rv
 
class  sc_inout_rv
 
class  sc_out_rv
 
struct  sc_writer_policy_check
 
struct  sc_writer_policy_nocheck_write
 
struct  sc_writer_policy_check_write
 
struct  sc_writer_policy_check_delta
 
struct  sc_writer_policy_nocheck_port
 
struct  sc_writer_policy_check_port
 
struct  sc_writer_policy_check< SC_ONE_WRITER >
 
struct  sc_writer_policy_check< SC_MANY_WRITERS >
 
struct  sc_writer_policy_check< SC_UNCHECKED_WRITERS >
 
class  sc_attr_base
 
class  sc_attr_cltn
 
class  sc_attribute
 
class  sc_cor
 
class  sc_cor_pkg
 
class  sc_cor_qt
 
class  sc_cor_pkg_qt
 
class  sc_cthread_process
 
class  sc_event_expr
 
class  sc_event_list
 
class  sc_event_and_list
 
class  sc_event_or_list
 
class  sc_event
 
class  sc_event_timed
 
class  sc_user
 
class  sc_halt
 
class  sc_kill
 
class  sc_unwind_exception
 
class  sc_join
 
class  sc_method_process
 
struct  sc_bind_proxy
 
class  sc_module
 
class  sc_module_name
 
class  sc_module_registry
 
class  sc_name_gen
 
class  sc_object
 
class  sc_object_manager
 
class  sc_phase_callback_registry
 
class  sc_process_host
 
class  sc_process_monitor
 
class  sc_throw_it_helper
 
class  sc_throw_it
 
class  sc_process_b
 
struct  scoped_flag
 
class  sc_process_handle
 
class  sc_spawn_reset
 
class  sc_reset_target
 
class  sc_reset
 
class  sc_runnable
 
class  sc_sensitive
 
class  sc_sensitive_pos
 
class  sc_sensitive_neg
 
class  sc_plist
 
struct  sc_curr_proc_info
 
class  sc_simcontext
 
class  sc_spawn_object
 
class  sc_spawn_object_v
 
class  sc_spawn_options
 
class  sc_thread_process
 
class  sc_time
 
struct  sc_time_params
 
struct  SC_API_VERSION_STRING
 
class  sc_trace_file
 
class  sc_trace_file_base
 
class  vcd_T_trace
 
class  vcd_trace_file
 
class  wif_T_trace
 
class  wif_trace_file
 
class  sc_pdhash_iter
 
class  sc_phash_base
 
class  sc_phash_base_iter
 
class  sc_phash_iter
 
class  sc_phash
 
class  sc_pdhash
 
class  sc_strhash_iter
 
class  sc_strhash
 
class  sc_plist_iter
 
class  sc_plist_base
 
class  sc_plist_base_iter
 
class  sc_mempool
 
class  sc_mpobject
 
class  sc_ppq_base
 
class  sc_ppq
 
class  sc_pvector
 
class  sc_report
 
struct  sc_msg_def
 
class  sc_report_handler
 
class  sc_byte_heap
 
class  sc_vpool
 
class  sc_vector
 
class  sc_vector_assembly
 
class  sc_vector_iter
 
class  sc_vector_base
 
struct  sc_direct_access
 
class  sc_member_access
 

Typedefs

typedef sc_in< bool > sc_in_clk
 
typedef sc_inout< bool > sc_inout_clk
 
typedef sc_out< bool > sc_out_clk
 
typedef sc_port
< sc_event_queue_if,
1, SC_ONE_OR_MORE_BOUND
sc_event_queue_port
 
typedef std::vector
< sc_trace_params * > 
sc_trace_params_vec
 
typedef void( sc_cor_fn )(void *)
 
typedef sc_cor_pkg_qt sc_cor_pkg_t
 
typedef sc_event_expr
< sc_event_and_list
sc_event_and_expr
 
typedef sc_event_expr
< sc_event_or_list
sc_event_or_expr
 
typedef sc_module sc_channel
 
typedef sc_module sc_behavior
 
typedef class sc_cthread_processsc_cthread_handle
 
typedef class sc_method_processsc_method_handle
 
typedef class sc_thread_processsc_thread_handle
 
typedef void(sc_process_host::* SC_ENTRY_FUNC )()
 
typedef sc_process_b sc_process_b
 
typedef sc_plist< sc_process_b * > sc_process_list
 
typedef const sc_curr_proc_infosc_curr_proc_handle
 
typedef void(* sc_plist_map_fn )(void *data, void *arg)
 
typedef int(* CFT )(const void *, const void *)
 
typedef unsigned sc_actions
 
typedef std::exception sc_exception
 
typedef void(* sc_report_handler_proc )(const sc_report &, const sc_actions &)
 

Enumerations

enum  sc_port_policy { SC_ONE_OR_MORE_BOUND, SC_ZERO_OR_MORE_BOUND, SC_ALL_BOUND }
 
enum  sc_writer_policy { SC_ONE_WRITER = 0, SC_MANY_WRITERS = 1, SC_UNCHECKED_WRITERS = 3 }
 
enum  sc_curr_proc_kind { SC_NO_PROC_, SC_METHOD_PROC_, SC_THREAD_PROC_, SC_CTHREAD_PROC_ }
 
enum  sc_descendant_inclusion_info { SC_NO_DESCENDANTS =0, SC_INCLUDE_DESCENDANTS, SC_INVALID_DESCENDANTS }
 
enum  sc_stop_mode { SC_STOP_FINISH_DELTA, SC_STOP_IMMEDIATE }
 
enum  sc_starvation_policy { SC_EXIT_ON_STARVATION, SC_RUN_TO_TIME }
 
enum  sc_status {
  SC_UNITIALIZED =0x00, SC_ELABORATION = 0x01, SC_BEFORE_END_OF_ELABORATION = 0x02, SC_END_OF_ELABORATION = 0x04,
  SC_START_OF_SIMULATION = 0x08, SC_RUNNING = 0x10, SC_PAUSED = 0x20, SC_STOPPED = 0x40,
  SC_END_OF_SIMULATION = 0x80, SC_END_OF_INITIALIZATION = 0x100, SC_END_OF_UPDATE = 0x400, SC_BEFORE_TIMESTEP = 0x800,
  SC_STATUS_LAST = SC_BEFORE_TIMESTEP, SC_STATUS_ANY = 0xdff
}
 
enum  sc_time_unit {
  SC_FS = 0, SC_PS, SC_NS, SC_US,
  SC_MS, SC_SEC
}
 
enum  sc_severity {
  SC_INFO = 0, SC_WARNING, SC_ERROR, SC_FATAL,
  SC_MAX_SEVERITY
}
 
enum  sc_verbosity {
  SC_NONE = 0, SC_LOW = 100, SC_MEDIUM = 200, SC_HIGH = 300,
  SC_FULL = 400, SC_DEBUG = 500
}
 
enum  {
  SC_UNSPECIFIED = 0x0000, SC_DO_NOTHING = 0x0001, SC_THROW = 0x0002, SC_LOG = 0x0004,
  SC_DISPLAY = 0x0008, SC_CACHE_REPORT = 0x0010, SC_INTERRUPT = 0x0020, SC_STOP = 0x0040,
  SC_ABORT = 0x0080
}
 

Functions

template<class T >
inline::std::ostream & operator<< (::std::ostream &os, const sc_fifo< T > &a)
 
void sc_warn_port_constructor ()
 
void sc_deprecated_get_data_ref ()
 
void sc_deprecated_get_new_value ()
 
void sc_deprecated_trace ()
 
sc_eventsc_lazy_kernel_event (sc_event **, const char *name)
 
template<typename T , sc_writer_policy POL>
inline::std::ostream & operator<< (::std::ostream &os, const sc_signal< T, POL > &a)
 
void sc_deprecated_add_trace ()
 
template<typename T >
::std::ostream & operator<< (::std::ostream &os, const sc_in< T > &a)
 
template<typename T >
::std::ostream & operator<< (::std::ostream &os, const sc_inout< T > &a)
 
template<class T >
void sc_trace (sc_trace_file *tf, const sc_in< T > &port, const std::string &name)
 
template<class T >
void sc_trace (sc_trace_file *tf, const sc_inout< T > &port, const std::string &name)
 
void sc_signal_invalid_writer (sc_object *target, sc_object *first_writer, sc_object *second_writer, bool check_delta)
 
void halt (sc_simcontext *)
 
void wait (int, sc_simcontext *)
 
int sc_notify_time_compare (const void *, const void *)
 
void notify (sc_event &e)
 
void notify (const sc_time &t, sc_event &e)
 
void notify (double v, sc_time_unit tu, sc_event &e)
 
sc_event_or_expr operator| (sc_event_or_expr expr, sc_event const &e)
 
sc_event_or_expr operator| (sc_event_or_expr expr, sc_event_or_list const &el)
 
sc_event_and_expr operator& (sc_event_and_expr expr, sc_event const &e)
 
sc_event_and_expr operator& (sc_event_and_expr expr, sc_event_and_list const &el)
 
void sc_thread_cor_fn (void *arg)
 
sc_reportsc_handle_exception ()
 
int sc_elab_and_sim (int argc, char *argv[])
 
int sc_argc ()
 
const char *const * sc_argv ()
 
void sc_method_cor_fn (void *)
 
void sc_cmethod_cor_fn (void *)
 
void sc_set_stack_size (sc_method_handle, std::size_t)
 
void next_trigger (sc_simcontext *)
 
void next_trigger (const sc_event &, sc_simcontext *)
 
void next_trigger (const sc_event_or_list &, sc_simcontext *)
 
void next_trigger (const sc_event_and_list &, sc_simcontext *)
 
void next_trigger (const sc_time &, sc_simcontext *)
 
void next_trigger (const sc_time &, const sc_event &, sc_simcontext *)
 
void next_trigger (const sc_time &, const sc_event_or_list &, sc_simcontext *)
 
void next_trigger (const sc_time &, const sc_event_and_list &, sc_simcontext *)
 
sc_modulesc_module_dynalloc (sc_module *)
 
sc_objectsc_get_parent (const sc_object *obj_p)
 
const char * sc_gen_unique_name (const char *, bool preserve_first)
 
sc_process_handle sc_get_current_process_handle ()
 
bool timed_out (sc_simcontext *)
 
void sc_set_stack_size (sc_thread_handle, std::size_t)
 
bool operator== (const sc_process_handle &left, const sc_process_handle &right)
 
bool operator!= (const sc_process_handle &left, const sc_process_handle &right)
 
bool operator< (const sc_process_handle &left, const sc_process_handle &right)
 
sc_process_handle sc_get_last_created_process_handle ()
 
std::ostream & operator<< (std::ostream &os, const sc_reset_target &target)
 
void sc_set_stop_mode (sc_stop_mode mode)
 
sc_stop_mode sc_get_stop_mode ()
 
void sc_start ()
 
void sc_start (const sc_time &duration, sc_starvation_policy p=SC_RUN_TO_TIME)
 
void sc_start (int duration, sc_time_unit unit, sc_starvation_policy p=SC_RUN_TO_TIME)
 
void sc_start (double duration, sc_time_unit unit, sc_starvation_policy p=SC_RUN_TO_TIME)
 
void sc_stop ()
 
sc_dt::uint64 sc_delta_count ()
 
const std::vector< sc_event * > & sc_get_top_level_events (const sc_simcontext *simc_p)
 
const std::vector< sc_object * > & sc_get_top_level_objects (const sc_simcontext *simc_p)
 
bool sc_is_running (const sc_simcontext *simc_p)
 
void sc_pause ()
 
bool sc_end_of_simulation_invoked ()
 
bool sc_start_of_simulation_invoked ()
 
void sc_set_time_resolution (double, sc_time_unit)
 
sc_time sc_get_time_resolution ()
 
void sc_set_default_time_unit (double, sc_time_unit)
 
sc_time sc_get_default_time_unit ()
 
bool sc_pending_activity_at_current_time (const sc_simcontext *)
 
bool sc_pending_activity_at_future_time (const sc_simcontext *)
 
sc_time sc_time_to_pending_activity (const sc_simcontext *)
 
sc_simcontextsc_get_curr_simcontext ()
 
sc_status sc_get_status ()
 
sc_objectsc_get_current_object ()
 
sc_process_bsc_get_current_process_b ()
 
sc_process_bsc_get_curr_process_handle ()
 
sc_curr_proc_kind sc_get_curr_process_kind ()
 
int sc_get_simulator_status ()
 
void sc_set_random_seed (unsigned int seed_)
 
void sc_initialize ()
 
const sc_timesc_max_time ()
 
const sc_timesc_time_stamp ()
 
double sc_simulation_time ()
 
sc_eventsc_find_event (const char *name)
 
sc_objectsc_find_object (const char *name)
 
bool sc_is_unwinding ()
 
bool sc_pending_activity (const sc_simcontext *simc_p=sc_get_curr_simcontext())
 
bool sc_hierarchical_name_exists (const char *name)
 
const char * sc_get_current_process_name ()
 
void sc_defunct_process_function (sc_module *)
 
template<typename T >
sc_process_handle sc_spawn (T object, const char *name_p=0, const sc_spawn_options *opt_p=0)
 
template<typename T >
sc_process_handle sc_spawn (typename T::result_type *r_p, T object, const char *name_p=0, const sc_spawn_options *opt_p=0)
 
std::ostream & operator<< (std::ostream &, sc_status)
 
sc_corget_cor_pointer (sc_process_b *process_p)
 
void wait (sc_simcontext *)
 
void wait (const sc_event &, sc_simcontext *)
 
void wait (const sc_event_or_list &, sc_simcontext *)
 
void wait (const sc_event_and_list &, sc_simcontext *)
 
void wait (const sc_time &, sc_simcontext *)
 
void wait (const sc_time &, const sc_event &, sc_simcontext *)
 
void wait (const sc_time &, const sc_event_or_list &, sc_simcontext *)
 
void wait (const sc_time &, const sc_event_and_list &, sc_simcontext *)
 
const sc_time operator+ (const sc_time &, const sc_time &)
 
const sc_time operator- (const sc_time &, const sc_time &)
 
const sc_time operator* (const sc_time &, double)
 
const sc_time operator* (double, const sc_time &)
 
const sc_time operator/ (const sc_time &, double)
 
double operator/ (const sc_time &, const sc_time &)
 
inline::std::ostream & operator<< (::std::ostream &, const sc_time &)
 
const sc_time operator% (const sc_time &t1, const sc_time &t2)
 
const char * sc_copyright ()
 
const char * sc_release ()
 
const char * sc_version ()
 
static SC_API_VERSION_STRING
< &SC_DISABLE_VIRTUAL_BIND_CHECK_
api_version_check (SC_DEFAULT_WRITER_POLICY)
 
void wait (double v, sc_time_unit tu, sc_simcontext *simc=sc_get_curr_simcontext())
 
void wait (double v, sc_time_unit tu, const sc_event &e, sc_simcontext *simc=sc_get_curr_simcontext())
 
void wait (double v, sc_time_unit tu, const sc_event_or_list &el, sc_simcontext *simc=sc_get_curr_simcontext())
 
void wait (double v, sc_time_unit tu, const sc_event_and_list &el, sc_simcontext *simc=sc_get_curr_simcontext())
 
void next_trigger (double v, sc_time_unit tu, sc_simcontext *simc=sc_get_curr_simcontext())
 
void next_trigger (double v, sc_time_unit tu, const sc_event &e, sc_simcontext *simc=sc_get_curr_simcontext())
 
void next_trigger (double v, sc_time_unit tu, const sc_event_or_list &el, sc_simcontext *simc=sc_get_curr_simcontext())
 
void next_trigger (double v, sc_time_unit tu, const sc_event_and_list &el, sc_simcontext *simc=sc_get_curr_simcontext())
 
void sc_set_location (const char *, int, sc_simcontext *=sc_get_curr_simcontext())
 
void at_posedge (const sc_signal_in_if< bool > &, sc_simcontext *=sc_get_curr_simcontext())
 
void at_posedge (const sc_signal_in_if< sc_dt::sc_logic > &, sc_simcontext *=sc_get_curr_simcontext())
 
void at_negedge (const sc_signal_in_if< bool > &, sc_simcontext *=sc_get_curr_simcontext())
 
void at_negedge (const sc_signal_in_if< sc_dt::sc_logic > &, sc_simcontext *=sc_get_curr_simcontext())
 
template<class T >
void sc_trace (sc_trace_file *tf, const sc_signal_in_if< T > &object, const std::string &name)
 
template<class T >
void sc_trace (sc_trace_file *tf, const sc_signal_in_if< T > &object, const char *name)
 
void sc_trace (sc_trace_file *tf, const sc_signal_in_if< char > &object, const std::string &name, int width)
 
void sc_trace (sc_trace_file *tf, const sc_signal_in_if< short > &object, const std::string &name, int width)
 
void sc_trace (sc_trace_file *tf, const sc_signal_in_if< int > &object, const std::string &name, int width)
 
void sc_trace (sc_trace_file *tf, const sc_signal_in_if< long > &object, const std::string &name, int width)
 
void sc_trace (sc_trace_file *tf, const unsigned int &object, const std::string &name, const char **enum_literals)
 
void sc_trace (sc_trace_file *tf, const void *object, const std::string &name)
 
void sc_trace_delta_cycles (sc_trace_file *tf, bool on=true)
 
void sc_write_comment (sc_trace_file *tf, const std::string &comment)
 
void tprintf (sc_trace_file *tf, const char *format,...)
 
sc_trace_filesc_create_vcd_trace_file (const char *name)
 
void sc_close_vcd_trace_file (sc_trace_file *tf)
 
sc_trace_filesc_create_wif_trace_file (const char *name)
 
void sc_close_wif_trace_file (sc_trace_file *tf)
 
void double_to_special_int64 (double in, unsigned *high, unsigned *low)
 
std::string localtime_string ()
 
unsigned default_int_hash_fn (const void *)
 
unsigned default_ptr_hash_fn (const void *)
 
unsigned default_str_hash_fn (const void *)
 
int sc_strhash_cmp (const void *, const void *)
 
void sc_strhash_kfree (void *)
 
void * sc_strhash_kdup (const void *)
 
const std::string sc_report_compose_message (const sc_report &)
 
bool sc_report_close_default_log ()
 
void sc_interrupt_here (const char *id, sc_severity severity)
 
void sc_stop_here (const char *id, sc_severity severity)
 
template<typename Container , typename ArgumentIterator >
Container::iterator sc_vector_do_bind (Container &cont, ArgumentIterator first, ArgumentIterator last, typename Container::iterator from)
 
template<typename Container , typename ArgumentIterator >
Container::iterator sc_vector_do_operator_paren (Container &cont, ArgumentIterator first, ArgumentIterator last, typename Container::iterator from)
 
template<typename T , typename MT >
sc_vector_assembly< T, MT > sc_assemble_vector (sc_vector< T > &vec, MT(T::*ptr))
 

Variables

const char SC_ID_REGISTER_ID_FAILED_ []
 
const sc_dt::sc_logic_value_t sc_logic_resolution_tbl [4][4]
 
sc_byte_heap sc_temp_heap
 
const int SC_DEFAULT_STACK_SIZE
 
sc_event sc_non_event
 
const sc_bind_proxy SC_BIND_PROXY_NIL
 
const char SC_HIERARCHY_CHAR
 
bool sc_enable_name_checking
 
bool sc_allow_process_control_corners
 
sc_simcontextsc_curr_simcontext
 
sc_simcontextsc_default_global_context
 
const int SC_SIM_OK = 0
 
const int SC_SIM_ERROR = 1
 
const int SC_SIM_USER_STOP = 2
 
const sc_time SC_ZERO_TIME
 
const unsigned int sc_version_major
 
const unsigned int sc_version_minor
 
const unsigned int sc_version_patch
 
const std::string sc_version_originator
 
const std::string sc_version_release_date
 
const std::string sc_version_prerelease
 
const bool sc_is_prerelease
 
const std::string sc_version_string
 
const std::string sc_copyright_string
 
const int SC_DISABLE_VIRTUAL_BIND_CHECK_
 
const int PHASH_DEFAULT_MAX_DENSITY = 5
 
const int PHASH_DEFAULT_INIT_TABLE_SIZE = 11
 
const double PHASH_DEFAULT_GROW_FACTOR
 
const bool PHASH_DEFAULT_REORDER_FLAG = true
 
const char SC_ID_UNKNOWN_ERROR_ []
 
const char SC_ID_WITHOUT_MESSAGE_ []
 
const char SC_ID_NOT_IMPLEMENTED_ []
 
const char SC_ID_INTERNAL_ERROR_ []
 
const char SC_ID_ASSERTION_FAILED_ []
 
const char SC_ID_OUT_OF_BOUNDS_ []
 

Typedef Documentation

typedef int(* sc_core::CFT)(const void *, const void *)

Definition at line 35 of file sc_pvector.h.

typedef unsigned sc_core::sc_actions

Definition at line 54 of file sc_report.h.

Definition at line 471 of file sc_module.h.

Definition at line 470 of file sc_module.h.

typedef void( sc_core::sc_cor_fn)(void *)

Definition at line 46 of file sc_cor.h.

Definition at line 39 of file sc_cor_qt.h.

Definition at line 56 of file sc_process.h.

Definition at line 76 of file sc_simcontext.h.

typedef void(sc_process_host::* sc_core::SC_ENTRY_FUNC)()

Definition at line 142 of file sc_process.h.

Definition at line 204 of file sc_event.h.

Definition at line 236 of file sc_event.h.

Definition at line 146 of file sc_event_queue.h.

typedef std::exception sc_core::sc_exception

Definition at line 181 of file sc_report.h.

typedef sc_in<bool> sc_core::sc_in_clk

Definition at line 41 of file sc_clock_ports.h.

Definition at line 42 of file sc_clock_ports.h.

Definition at line 57 of file sc_process.h.

typedef sc_out<bool> sc_core::sc_out_clk

Definition at line 43 of file sc_clock_ports.h.

typedef void(* sc_core::sc_plist_map_fn)(void *data, void *arg)

Definition at line 37 of file sc_list.h.

Definition at line 447 of file sc_process.h.

Definition at line 66 of file sc_simcontext.h.

typedef void(* sc_core::sc_report_handler_proc)(const sc_report &, const sc_actions &)

Definition at line 54 of file sc_report_handler.h.

Definition at line 58 of file sc_process.h.

Definition at line 65 of file sc_signal_ports.h.

Enumeration Type Documentation

anonymous enum
Enumerator
SC_UNSPECIFIED 
SC_DO_NOTHING 
SC_THROW 
SC_LOG 
SC_DISPLAY 
SC_CACHE_REPORT 
SC_INTERRUPT 
SC_STOP 
SC_ABORT 

Definition at line 77 of file sc_report.h.

Enumerator
SC_NO_PROC_ 
SC_METHOD_PROC_ 
SC_THREAD_PROC_ 
SC_CTHREAD_PROC_ 

Definition at line 63 of file sc_process.h.

Enumerator
SC_NO_DESCENDANTS 
SC_INCLUDE_DESCENDANTS 
SC_INVALID_DESCENDANTS 

Definition at line 73 of file sc_process.h.

Enumerator
SC_ONE_OR_MORE_BOUND 
SC_ZERO_OR_MORE_BOUND 
SC_ALL_BOUND 

Definition at line 50 of file sc_port.h.

Enumerator
SC_INFO 
SC_WARNING 
SC_ERROR 
SC_FATAL 
SC_MAX_SEVERITY 

Definition at line 46 of file sc_report.h.

Enumerator
SC_EXIT_ON_STARVATION 
SC_RUN_TO_TIME 

Definition at line 85 of file sc_simcontext.h.

Enumerator
SC_UNITIALIZED 
SC_ELABORATION 
SC_BEFORE_END_OF_ELABORATION 
SC_END_OF_ELABORATION 
SC_START_OF_SIMULATION 
SC_RUNNING 
SC_PAUSED 
SC_STOPPED 
SC_END_OF_SIMULATION 
SC_END_OF_INITIALIZATION 
SC_END_OF_UPDATE 
SC_BEFORE_TIMESTEP 
SC_STATUS_LAST 
SC_STATUS_ANY 

Definition at line 40 of file sc_status.h.

Enumerator
SC_STOP_FINISH_DELTA 
SC_STOP_IMMEDIATE 

Definition at line 78 of file sc_simcontext.h.

Enumerator
SC_FS 
SC_PS 
SC_NS 
SC_US 
SC_MS 
SC_SEC 

Definition at line 56 of file sc_time.h.

Enumerator
SC_NONE 
SC_LOW 
SC_MEDIUM 
SC_HIGH 
SC_FULL 
SC_DEBUG 

Definition at line 62 of file sc_report.h.

Enumerator
SC_ONE_WRITER 

unique writer (from a unique port)

SC_MANY_WRITERS 

allow multiple writers (with different ports)

SC_UNCHECKED_WRITERS 

even allow delta cycle conflicts (non-standard)

Definition at line 55 of file sc_writer_policy.h.

Function Documentation

static SC_API_VERSION_STRING< & SC_DISABLE_VIRTUAL_BIND_CHECK_> sc_core::api_version_check ( SC_DEFAULT_WRITER_POLICY  )
static
void sc_core::at_negedge ( const sc_signal_in_if< bool > &  ,
sc_simcontext *  = sc_get_curr_simcontext() 
)
void sc_core::at_negedge ( const sc_signal_in_if< sc_dt::sc_logic > &  ,
sc_simcontext *  = sc_get_curr_simcontext() 
)
void sc_core::at_posedge ( const sc_signal_in_if< bool > &  ,
sc_simcontext *  = sc_get_curr_simcontext() 
)
void sc_core::at_posedge ( const sc_signal_in_if< sc_dt::sc_logic > &  ,
sc_simcontext *  = sc_get_curr_simcontext() 
)
unsigned sc_core::default_int_hash_fn ( const void *  )
unsigned sc_core::default_ptr_hash_fn ( const void *  )
unsigned sc_core::default_str_hash_fn ( const void *  )
void sc_core::double_to_special_int64 ( double  in,
unsigned *  high,
unsigned *  low 
)
sc_cor * sc_core::get_cor_pointer ( sc_process_b *  process_p)
inline

Definition at line 435 of file sc_thread_process.h.

void sc_core::halt ( sc_simcontext *  )
std::string sc_core::localtime_string ( )
void sc_core::next_trigger ( sc_simcontext *  )
void sc_core::next_trigger ( const sc_event &  ,
sc_simcontext *   
)
void sc_core::next_trigger ( const sc_event_or_list &  ,
sc_simcontext *   
)
void sc_core::next_trigger ( const sc_event_and_list &  ,
sc_simcontext *   
)
void sc_core::next_trigger ( const sc_time &  ,
sc_simcontext *   
)
void sc_core::next_trigger ( const sc_time &  ,
const sc_event &  ,
sc_simcontext *   
)
void sc_core::next_trigger ( const sc_time &  ,
const sc_event_or_list &  ,
sc_simcontext *   
)
void sc_core::next_trigger ( const sc_time &  ,
const sc_event_and_list &  ,
sc_simcontext *   
)
void sc_core::next_trigger ( double  v,
sc_time_unit  tu,
sc_simcontext *  simc = sc_get_curr_simcontext() 
)
inline

Definition at line 157 of file sc_wait.h.

void sc_core::next_trigger ( double  v,
sc_time_unit  tu,
const sc_event &  e,
sc_simcontext *  simc = sc_get_curr_simcontext() 
)
inline

Definition at line 171 of file sc_wait.h.

void sc_core::next_trigger ( double  v,
sc_time_unit  tu,
const sc_event_or_list &  el,
sc_simcontext *  simc = sc_get_curr_simcontext() 
)
inline

Definition at line 186 of file sc_wait.h.

void sc_core::next_trigger ( double  v,
sc_time_unit  tu,
const sc_event_and_list &  el,
sc_simcontext *  simc = sc_get_curr_simcontext() 
)
inline

Definition at line 201 of file sc_wait.h.

void sc_core::notify ( sc_event &  e)
void sc_core::notify ( const sc_time &  t,
sc_event &  e 
)
void sc_core::notify ( double  v,
sc_time_unit  tu,
sc_event &  e 
)
bool sc_core::operator!= ( const sc_process_handle &  left,
const sc_process_handle &  right 
)
inline

Definition at line 152 of file sc_process_handle.h.

const sc_time sc_core::operator% ( const sc_time &  t1,
const sc_time &  t2 
)
inline

Definition at line 334 of file sc_time.h.

sc_event_and_expr sc_core::operator& ( sc_event_and_expr  expr,
sc_event const &  e 
)
inline

Definition at line 794 of file sc_event.h.

sc_event_and_expr sc_core::operator& ( sc_event_and_expr  expr,
sc_event_and_list const &  el 
)
inline

Definition at line 802 of file sc_event.h.

const sc_time sc_core::operator* ( const sc_time &  t,
double  d 
)
inline

Definition at line 303 of file sc_time.h.

const sc_time sc_core::operator* ( double  d,
const sc_time &  t 
)
inline

Definition at line 311 of file sc_time.h.

const sc_time sc_core::operator+ ( const sc_time &  t1,
const sc_time &  t2 
)
inline

Definition at line 260 of file sc_time.h.

const sc_time sc_core::operator- ( const sc_time &  t1,
const sc_time &  t2 
)
inline

Definition at line 267 of file sc_time.h.

const sc_time sc_core::operator/ ( const sc_time &  t,
double  d 
)
inline

Definition at line 319 of file sc_time.h.

double sc_core::operator/ ( const sc_time &  t1,
const sc_time &  t2 
)
inline

Definition at line 327 of file sc_time.h.

bool sc_core::operator< ( const sc_process_handle &  left,
const sc_process_handle &  right 
)
inline

Definition at line 159 of file sc_process_handle.h.

std::ostream& sc_core::operator<< ( std::ostream &  os,
const sc_reset_target &  target 
)
inline

Definition at line 58 of file sc_reset.h.

std::ostream& sc_core::operator<< ( std::ostream &  ,
sc_status   
)
std::ostream & sc_core::operator<< ( ::std::ostream &  os,
const sc_time &  t 
)
inline

Definition at line 344 of file sc_time.h.

template<typename T >
::std::ostream& sc_core::operator<< ( ::std::ostream &  os,
const sc_in< T > &  a 
)

Definition at line 285 of file sc_signal_ports.h.

template<class T >
inline ::std::ostream& sc_core::operator<< ( ::std::ostream &  os,
const sc_fifo< T > &  a 
)

Definition at line 424 of file sc_fifo.h.

template<typename T , sc_writer_policy POL>
inline ::std::ostream& sc_core::operator<< ( ::std::ostream &  os,
const sc_signal< T, POL > &  a 
)

Definition at line 603 of file sc_signal.h.

template<typename T >
::std::ostream& sc_core::operator<< ( ::std::ostream &  os,
const sc_inout< T > &  a 
)

Definition at line 1110 of file sc_signal_ports.h.

bool sc_core::operator== ( const sc_process_handle &  left,
const sc_process_handle &  right 
)
inline

Definition at line 145 of file sc_process_handle.h.

sc_event_or_expr sc_core::operator| ( sc_event_or_expr  expr,
sc_event const &  e 
)
inline

Definition at line 676 of file sc_event.h.

sc_event_or_expr sc_core::operator| ( sc_event_or_expr  expr,
sc_event_or_list const &  el 
)
inline

Definition at line 684 of file sc_event.h.

int sc_core::sc_argc ( )
const char* const* sc_core::sc_argv ( )
template<typename T , typename MT >
sc_vector_assembly<T,MT> sc_core::sc_assemble_vector ( sc_vector< T > &  vec,
MT T::*  ptr 
)

Definition at line 606 of file sc_vector.h.

void sc_core::sc_close_vcd_trace_file ( sc_trace_file *  tf)
void sc_core::sc_close_wif_trace_file ( sc_trace_file *  tf)
void sc_core::sc_cmethod_cor_fn ( void *  )
const char* sc_core::sc_copyright ( )
sc_trace_file* sc_core::sc_create_vcd_trace_file ( const char *  name)
sc_trace_file* sc_core::sc_create_wif_trace_file ( const char *  name)
void sc_core::sc_defunct_process_function ( sc_module *  )
sc_dt::uint64 sc_core::sc_delta_count ( )
inline

Definition at line 656 of file sc_simcontext.h.

void sc_core::sc_deprecated_add_trace ( )
void sc_core::sc_deprecated_get_data_ref ( )
void sc_core::sc_deprecated_get_new_value ( )
void sc_core::sc_deprecated_trace ( )
int sc_core::sc_elab_and_sim ( int  argc,
char *  argv[] 
)
bool sc_core::sc_end_of_simulation_invoked ( )
inline

Definition at line 708 of file sc_simcontext.h.

sc_event* sc_core::sc_find_event ( const char *  name)
sc_object* sc_core::sc_find_object ( const char *  name)
const char * sc_core::sc_gen_unique_name ( const char *  ,
bool  preserve_first 
)
sc_process_b* sc_core::sc_get_curr_process_handle ( )
sc_curr_proc_kind sc_core::sc_get_curr_process_kind ( )
inline

Definition at line 607 of file sc_simcontext.h.

sc_simcontext * sc_core::sc_get_curr_simcontext ( )
inline

Definition at line 380 of file sc_simcontext.h.

sc_object* sc_core::sc_get_current_object ( )
inline

Definition at line 590 of file sc_simcontext.h.

sc_process_b* sc_core::sc_get_current_process_b ( )
inline

Definition at line 597 of file sc_simcontext.h.

sc_process_handle sc_core::sc_get_current_process_handle ( )
const char* sc_core::sc_get_current_process_name ( )
inline

Definition at line 63 of file sc_simcontext_int.h.

sc_time sc_core::sc_get_default_time_unit ( )
sc_process_handle sc_core::sc_get_last_created_process_handle ( )
inline

Definition at line 518 of file sc_process_handle.h.

sc_object* sc_core::sc_get_parent ( const sc_object *  obj_p)
inline

Definition at line 169 of file sc_object.h.

int sc_core::sc_get_simulator_status ( )
inline

Definition at line 613 of file sc_simcontext.h.

sc_status sc_core::sc_get_status ( )
inline

Definition at line 391 of file sc_simcontext.h.

sc_stop_mode sc_core::sc_get_stop_mode ( )
sc_time sc_core::sc_get_time_resolution ( )
const std::vector< sc_event * > & sc_core::sc_get_top_level_events ( const sc_simcontext *  simc_p = sc_get_curr_simcontext())
inline

Definition at line 638 of file sc_simcontext.h.

const std::vector< sc_object * > & sc_core::sc_get_top_level_objects ( const sc_simcontext *  simc_p = sc_get_curr_simcontext())
inline

Definition at line 645 of file sc_simcontext.h.

sc_report* sc_core::sc_handle_exception ( )
bool sc_core::sc_hierarchical_name_exists ( const char *  name)
inline

Definition at line 713 of file sc_simcontext.h.

void sc_core::sc_initialize ( )
void sc_core::sc_interrupt_here ( const char *  id,
sc_severity  severity 
)
bool sc_core::sc_is_running ( const sc_simcontext *  simc_p = sc_get_curr_simcontext())
inline

Definition at line 662 of file sc_simcontext.h.

bool sc_core::sc_is_unwinding ( )
sc_event* sc_core::sc_lazy_kernel_event ( sc_event **  ,
const char *  name 
)
const sc_time& sc_core::sc_max_time ( )
void sc_core::sc_method_cor_fn ( void *  )
sc_module* sc_core::sc_module_dynalloc ( sc_module *  )
int sc_core::sc_notify_time_compare ( const void *  ,
const void *   
)
void sc_core::sc_pause ( )
inline

Definition at line 669 of file sc_simcontext.h.

bool sc_core::sc_pending_activity ( const sc_simcontext *  simc_p = sc_get_curr_simcontext())
inline

Definition at line 695 of file sc_simcontext.h.

bool sc_core::sc_pending_activity_at_current_time ( const sc_simcontext *  simc_p = sc_get_curr_simcontext())
inline

Definition at line 677 of file sc_simcontext.h.

bool sc_core::sc_pending_activity_at_future_time ( const sc_simcontext *  simc_p = sc_get_curr_simcontext())
inline

Definition at line 685 of file sc_simcontext.h.

const char* sc_core::sc_release ( )
bool sc_core::sc_report_close_default_log ( )
const std::string sc_core::sc_report_compose_message ( const sc_report &  )
void sc_core::sc_set_default_time_unit ( double  ,
sc_time_unit   
)
void sc_core::sc_set_location ( const char *  ,
int  ,
sc_simcontext *  = sc_get_curr_simcontext() 
)
void sc_core::sc_set_random_seed ( unsigned int  seed_)
void sc_core::sc_set_stack_size ( sc_method_handle  ,
std::size_t   
)
void sc_core::sc_set_stack_size ( sc_thread_handle  ,
std::size_t   
)
void sc_core::sc_set_stop_mode ( sc_stop_mode  mode)
void sc_core::sc_set_time_resolution ( double  ,
sc_time_unit   
)
void sc_core::sc_signal_invalid_writer ( sc_object *  target,
sc_object *  first_writer,
sc_object *  second_writer,
bool  check_delta 
)
double sc_core::sc_simulation_time ( )
template<typename T >
sc_process_handle sc_core::sc_spawn ( object,
const char *  name_p = 0,
const sc_spawn_options *  opt_p = 0 
)
inline

Definition at line 102 of file sc_spawn.h.

template<typename T >
sc_process_handle sc_core::sc_spawn ( typename T::result_type *  r_p,
object,
const char *  name_p = 0,
const sc_spawn_options *  opt_p = 0 
)
inline

Definition at line 203 of file sc_spawn.h.

void sc_core::sc_start ( )
void sc_core::sc_start ( const sc_time &  duration,
sc_starvation_policy  p = SC_RUN_TO_TIME 
)
void sc_core::sc_start ( int  duration,
sc_time_unit  unit,
sc_starvation_policy  p = SC_RUN_TO_TIME 
)
inline

Definition at line 93 of file sc_simcontext.h.

void sc_core::sc_start ( double  duration,
sc_time_unit  unit,
sc_starvation_policy  p = SC_RUN_TO_TIME 
)
inline

Definition at line 99 of file sc_simcontext.h.

bool sc_core::sc_start_of_simulation_invoked ( )
inline

Definition at line 720 of file sc_simcontext.h.

void sc_core::sc_stop ( )
void sc_core::sc_stop_here ( const char *  id,
sc_severity  severity 
)
int sc_core::sc_strhash_cmp ( const void *  ,
const void *   
)
void* sc_core::sc_strhash_kdup ( const void *  )
void sc_core::sc_strhash_kfree ( void *  )
void sc_core::sc_thread_cor_fn ( void *  arg)
const sc_time& sc_core::sc_time_stamp ( )
sc_time sc_core::sc_time_to_pending_activity ( const sc_simcontext *  )
template<class T >
void sc_core::sc_trace ( sc_trace_file *  tf,
const sc_signal_in_if< T > &  object,
const std::string &  name 
)
inline

Definition at line 292 of file sc_trace.h.

template<class T >
void sc_core::sc_trace ( sc_trace_file *  tf,
const sc_signal_in_if< T > &  object,
const char *  name 
)
inline

Definition at line 302 of file sc_trace.h.

void sc_core::sc_trace ( sc_trace_file *  tf,
const sc_signal_in_if< char > &  object,
const std::string &  name,
int  width 
)
void sc_core::sc_trace ( sc_trace_file *  tf,
const sc_signal_in_if< short > &  object,
const std::string &  name,
int  width 
)
void sc_core::sc_trace ( sc_trace_file *  tf,
const sc_signal_in_if< int > &  object,
const std::string &  name,
int  width 
)
void sc_core::sc_trace ( sc_trace_file *  tf,
const sc_signal_in_if< long > &  object,
const std::string &  name,
int  width 
)
void sc_core::sc_trace ( sc_trace_file *  tf,
const unsigned int &  object,
const std::string &  name,
const char **  enum_literals 
)
void sc_core::sc_trace ( sc_trace_file *  tf,
const void *  object,
const std::string &  name 
)
template<class T >
void sc_core::sc_trace ( sc_trace_file *  tf,
const sc_in< T > &  port,
const std::string &  name 
)
inline

Definition at line 1791 of file sc_signal_ports.h.

template<class T >
void sc_core::sc_trace ( sc_trace_file *  tf,
const sc_inout< T > &  port,
const std::string &  name 
)
inline

Definition at line 1808 of file sc_signal_ports.h.

void sc_core::sc_trace_delta_cycles ( sc_trace_file *  tf,
bool  on = true 
)
inline

Definition at line 361 of file sc_trace.h.

template<typename Container , typename ArgumentIterator >
Container::iterator sc_core::sc_vector_do_bind ( Container &  cont,
ArgumentIterator  first,
ArgumentIterator  last,
typename Container::iterator  from 
)

Definition at line 661 of file sc_vector.h.

template<typename Container , typename ArgumentIterator >
Container::iterator sc_core::sc_vector_do_operator_paren ( Container &  cont,
ArgumentIterator  first,
ArgumentIterator  last,
typename Container::iterator  from 
)

Definition at line 678 of file sc_vector.h.

const char* sc_core::sc_version ( )
void sc_core::sc_warn_port_constructor ( )
void sc_core::sc_write_comment ( sc_trace_file *  tf,
const std::string &  comment 
)
inline

Definition at line 371 of file sc_trace.h.

bool sc_core::timed_out ( sc_simcontext *  )
void sc_core::tprintf ( sc_trace_file *  tf,
const char *  format,
  ... 
)
void sc_core::wait ( int  ,
sc_simcontext *   
)
void sc_core::wait ( double  v,
sc_time_unit  tu,
sc_simcontext *  simc = sc_get_curr_simcontext() 
)
inline

Definition at line 74 of file sc_wait.h.

void sc_core::wait ( sc_simcontext *  )
void sc_core::wait ( const sc_event &  ,
sc_simcontext *   
)
void sc_core::wait ( const sc_event_or_list &  ,
sc_simcontext *   
)
void sc_core::wait ( const sc_event_and_list &  ,
sc_simcontext *   
)
void sc_core::wait ( const sc_time &  ,
sc_simcontext *   
)
void sc_core::wait ( const sc_time &  ,
const sc_event &  ,
sc_simcontext *   
)
void sc_core::wait ( const sc_time &  ,
const sc_event_or_list &  ,
sc_simcontext *   
)
void sc_core::wait ( const sc_time &  ,
const sc_event_and_list &  ,
sc_simcontext *   
)
void sc_core::wait ( double  v,
sc_time_unit  tu,
const sc_event &  e,
sc_simcontext *  simc = sc_get_curr_simcontext() 
)
inline

Definition at line 88 of file sc_wait.h.

void sc_core::wait ( double  v,
sc_time_unit  tu,
const sc_event_or_list &  el,
sc_simcontext *  simc = sc_get_curr_simcontext() 
)
inline

Definition at line 103 of file sc_wait.h.

void sc_core::wait ( double  v,
sc_time_unit  tu,
const sc_event_and_list &  el,
sc_simcontext *  simc = sc_get_curr_simcontext() 
)
inline

Definition at line 118 of file sc_wait.h.

Variable Documentation

const double sc_core::PHASH_DEFAULT_GROW_FACTOR
const int sc_core::PHASH_DEFAULT_INIT_TABLE_SIZE = 11

Definition at line 44 of file sc_hash.h.

const int sc_core::PHASH_DEFAULT_MAX_DENSITY = 5

Definition at line 43 of file sc_hash.h.

const bool sc_core::PHASH_DEFAULT_REORDER_FLAG = true

Definition at line 46 of file sc_hash.h.

bool sc_core::sc_allow_process_control_corners

Definition at line 729 of file sc_simcontext.h.

const sc_bind_proxy sc_core::SC_BIND_PROXY_NIL
const std::string sc_core::sc_copyright_string
sc_simcontext* sc_core::sc_curr_simcontext
sc_simcontext* sc_core::sc_default_global_context
const int sc_core::SC_DEFAULT_STACK_SIZE
const int sc_core::SC_DISABLE_VIRTUAL_BIND_CHECK_
bool sc_core::sc_enable_name_checking
const char sc_core::SC_HIERARCHY_CHAR
const char sc_core::SC_ID_ASSERTION_FAILED_[]
const char sc_core::SC_ID_INTERNAL_ERROR_[]
const char sc_core::SC_ID_NOT_IMPLEMENTED_[]
const char sc_core::SC_ID_OUT_OF_BOUNDS_[]
const char sc_core::SC_ID_REGISTER_ID_FAILED_

Definition at line 70 of file sc_bit_ids.h.

const char sc_core::SC_ID_UNKNOWN_ERROR_[]
const char sc_core::SC_ID_WITHOUT_MESSAGE_[]
const bool sc_core::sc_is_prerelease
const sc_dt::sc_logic_value_t sc_core::sc_logic_resolution_tbl

Definition at line 35 of file sc_signal_rv.h.

sc_event sc_core::sc_non_event
const int sc_core::SC_SIM_ERROR = 1

Definition at line 37 of file sc_status.h.

const int sc_core::SC_SIM_OK = 0

Definition at line 36 of file sc_status.h.

const int sc_core::SC_SIM_USER_STOP = 2

Definition at line 38 of file sc_status.h.

sc_byte_heap sc_core::sc_temp_heap
const unsigned int sc_core::sc_version_major
const unsigned int sc_core::sc_version_minor
const std::string sc_core::sc_version_originator
const unsigned int sc_core::sc_version_patch
const std::string sc_core::sc_version_prerelease
const std::string sc_core::sc_version_release_date
const std::string sc_core::sc_version_string
const sc_time sc_core::SC_ZERO_TIME