SystemC  2.3.1
Accellera SystemC proof-of-concept library
Public Types | Public Member Functions | List of all members
sc_core::sc_out< T > Class Template Reference

#include <sysc/communication/sc_signal_ports.h>

Inheritance diagram for sc_core::sc_out< T >:
Inheritance graph
[legend]
Collaboration diagram for sc_core::sc_out< T >:
Collaboration graph
[legend]

Public Types

typedef T data_type
 
typedef sc_out< data_typethis_type
 
typedef sc_inout< data_typebase_type
 
typedef base_type::in_if_type in_if_type
 
typedef base_type::in_port_type in_port_type
 
typedef base_type::inout_if_type inout_if_type
 
typedef base_type::inout_port_type inout_port_type
 
- Public Types inherited from sc_core::sc_inout< T >
typedef T data_type
 
typedef sc_signal_inout_if
< data_type
if_type
 
typedef sc_port< if_type,
1, SC_ONE_OR_MORE_BOUND
base_type
 
typedef sc_inout< data_typethis_type
 
typedef sc_signal_in_if
< data_type
in_if_type
 
typedef sc_port< in_if_type,
1, SC_ONE_OR_MORE_BOUND
in_port_type
 
typedef if_type inout_if_type
 
typedef base_type inout_port_type
 
- Public Types inherited from sc_core::sc_port_b< sc_signal_inout_if< T > >
typedef sc_port_base base_type
 
typedef sc_port_b
< sc_signal_inout_if< T > > 
this_type
 
typedef this_type port_type
 
- Public Types inherited from sc_core::sc_port_base
typedef sc_port_base this_type
 
- Public Types inherited from sc_core::sc_object
typedef unsigned phase_cb_mask
 

Public Member Functions

 sc_out ()
 
 sc_out (const char *name_)
 
 sc_out (inout_if_type &interface_)
 
 sc_out (const char *name_, inout_if_type &interface_)
 
 sc_out (inout_port_type &parent_)
 
 sc_out (const char *name_, inout_port_type &parent_)
 
 sc_out (this_type &parent_)
 
 sc_out (const char *name_, this_type &parent_)
 
virtual ~sc_out ()
 
this_typeoperator= (const data_type &value_)
 
this_typeoperator= (const in_if_type &interface_)
 
this_typeoperator= (const in_port_type &port_)
 
this_typeoperator= (const inout_port_type &port_)
 
this_typeoperator= (const this_type &port_)
 
virtual const char * kind () const
 
- Public Member Functions inherited from sc_core::sc_inout< T >
 sc_inout ()
 
 sc_inout (const char *name_)
 
 sc_inout (inout_if_type &interface_)
 
 sc_inout (const char *name_, inout_if_type &interface_)
 
 sc_inout (inout_port_type &parent_)
 
 sc_inout (const char *name_, inout_port_type &parent_)
 
 sc_inout (this_type &parent_)
 
 sc_inout (const char *name_, this_type &parent_)
 
virtual ~sc_inout ()
 
const sc_eventdefault_event () const
 
const sc_eventvalue_changed_event () const
 
const data_typeread () const
 
 operator const data_type & () const
 
bool event () const
 
void write (const data_type &value_)
 
this_typeoperator= (const data_type &value_)
 
this_typeoperator= (const in_if_type &interface_)
 
this_typeoperator= (const in_port_type &port_)
 
this_typeoperator= (const inout_port_type &port_)
 
this_typeoperator= (const this_type &port_)
 
void initialize (const data_type &value_)
 
void initialize (const in_if_type &interface_)
 
virtual void end_of_elaboration ()
 
sc_event_findervalue_changed () const
 
void add_trace_internal (sc_trace_file *, const std::string &) const
 
void add_trace (sc_trace_file *, const std::string &) const
 
- Public Member Functions inherited from sc_core::sc_port< sc_signal_inout_if< T >, 1, SC_ONE_OR_MORE_BOUND >
 sc_port ()
 
 sc_port (const char *name_)
 
 sc_port (sc_signal_inout_if< T > &interface_)
 
 sc_port (const char *name_, sc_signal_inout_if< T > &interface_)
 
 sc_port (base_type &parent_)
 
 sc_port (const char *name_, base_type &parent_)
 
 sc_port (this_type &parent_)
 
 sc_port (const char *name_, this_type &parent_)
 
virtual ~sc_port ()
 
- Public Member Functions inherited from sc_core::sc_port_b< sc_signal_inout_if< T > >
SC_VIRTUAL_ void bind (sc_signal_inout_if< T > &interface_)
 
SC_VIRTUAL_ void bind (port_type &parent_)
 
void operator() (sc_signal_inout_if< T > &interface_)
 
void operator() (port_type &parent_)
 
int size () const
 
sc_signal_inout_if< T > * operator-> ()
 
const sc_signal_inout_if< T > * operator-> () const
 
const sc_signal_inout_if< T > * get_interface (int iface_i) const
 
sc_signal_inout_if< T > * get_interface (int iface_i)
 
virtual sc_interfaceget_interface ()
 
virtual const sc_interfaceget_interface () const
 
sc_signal_inout_if< T > * operator[] (int index_)
 
const sc_signal_inout_if< T > * operator[] (int index_) const
 
- Public Member Functions inherited from sc_core::sc_port_base
int bind_count ()
 
- Public Member Functions inherited from sc_core::sc_object
const char * name () const
 
const char * basename () const
 
virtual void print (::std::ostream &os=::std::cout) const
 
virtual void dump (::std::ostream &os=::std::cout) const
 
virtual void trace (sc_trace_file *tf) const
 
sc_simcontextsimcontext () const
 
bool add_attribute (sc_attr_base &)
 
sc_attr_baseget_attribute (const std::string &name_)
 
const sc_attr_baseget_attribute (const std::string &name_) const
 
sc_attr_baseremove_attribute (const std::string &name_)
 
void remove_all_attributes ()
 
int num_attributes () const
 
sc_attr_cltnattr_cltn ()
 
const sc_attr_cltnattr_cltn () const
 
virtual const std::vector
< sc_event * > & 
get_child_events () const
 
virtual const std::vector
< sc_object * > & 
get_child_objects () const
 
sc_objectget_parent () const
 
sc_objectget_parent_object () const
 

Additional Inherited Members

- Protected Member Functions inherited from sc_core::sc_inout< T >
void remove_traces () const
 
- Protected Member Functions inherited from sc_core::sc_port_b< sc_signal_inout_if< T > >
 sc_port_b (int max_size_, sc_port_policy policy=SC_ONE_OR_MORE_BOUND)
 
 sc_port_b (const char *name_, int max_size_, sc_port_policy policy=SC_ONE_OR_MORE_BOUND)
 
virtual ~sc_port_b ()
 
virtual int vbind (sc_interface &)
 
virtual int vbind (sc_port_base &)
 
virtual void make_sensitive (sc_thread_handle, sc_event_finder *=0) const
 
virtual void make_sensitive (sc_method_handle, sc_event_finder *=0) const
 
- Protected Member Functions inherited from sc_core::sc_port_base
 sc_port_base (int max_size_, sc_port_policy policy=SC_ONE_OR_MORE_BOUND)
 
 sc_port_base (const char *name_, int max_size_, sc_port_policy policy=SC_ONE_OR_MORE_BOUND)
 
virtual ~sc_port_base ()
 
void bind (sc_interface &interface_)
 
void bind (this_type &parent_)
 
virtual void before_end_of_elaboration ()
 
virtual void start_of_simulation ()
 
virtual void end_of_simulation ()
 
void report_error (const char *id, const char *add_msg=0) const
 
void add_static_event (sc_method_handle process_p, const sc_event &event) const
 
void add_static_event (sc_thread_handle process_p, const sc_event &event) const
 
- Protected Member Functions inherited from sc_core::sc_object
 sc_object ()
 
 sc_object (const char *nm)
 
 sc_object (const sc_object &)
 
sc_objectoperator= (const sc_object &)
 
virtual ~sc_object ()
 
virtual void add_child_event (sc_event *event_p)
 
virtual void add_child_object (sc_object *object_p)
 
virtual bool remove_child_event (sc_event *event_p)
 
virtual bool remove_child_object (sc_object *object_p)
 
phase_cb_mask register_simulation_phase_callback (phase_cb_mask)
 
phase_cb_mask unregister_simulation_phase_callback (phase_cb_mask)
 
- Protected Attributes inherited from sc_core::sc_inout< T >
data_typem_init_val
 
sc_trace_params_vecm_traces
 
- Protected Attributes inherited from sc_core::sc_port_base
sc_bind_info * m_bind_info
 

Detailed Description

template<class T>
class sc_core::sc_out< T >

Definition at line 1694 of file sc_signal_ports.h.

Member Typedef Documentation

template<class T>
typedef sc_inout<data_type> sc_core::sc_out< T >::base_type

Definition at line 1704 of file sc_signal_ports.h.

template<class T>
typedef T sc_core::sc_out< T >::data_type

Definition at line 1701 of file sc_signal_ports.h.

template<class T>
typedef base_type::in_if_type sc_core::sc_out< T >::in_if_type

Definition at line 1706 of file sc_signal_ports.h.

template<class T>
typedef base_type::in_port_type sc_core::sc_out< T >::in_port_type

Definition at line 1707 of file sc_signal_ports.h.

template<class T>
typedef base_type::inout_if_type sc_core::sc_out< T >::inout_if_type

Definition at line 1708 of file sc_signal_ports.h.

template<class T>
typedef base_type::inout_port_type sc_core::sc_out< T >::inout_port_type

Definition at line 1709 of file sc_signal_ports.h.

template<class T>
typedef sc_out<data_type> sc_core::sc_out< T >::this_type

Definition at line 1703 of file sc_signal_ports.h.

Constructor & Destructor Documentation

template<class T>
sc_core::sc_out< T >::sc_out ( )
inline

Definition at line 1715 of file sc_signal_ports.h.

template<class T>
sc_core::sc_out< T >::sc_out ( const char *  name_)
inlineexplicit

Definition at line 1719 of file sc_signal_ports.h.

template<class T>
sc_core::sc_out< T >::sc_out ( inout_if_type interface_)
inlineexplicit

Definition at line 1723 of file sc_signal_ports.h.

template<class T>
sc_core::sc_out< T >::sc_out ( const char *  name_,
inout_if_type interface_ 
)
inline

Definition at line 1727 of file sc_signal_ports.h.

template<class T>
sc_core::sc_out< T >::sc_out ( inout_port_type parent_)
inlineexplicit

Definition at line 1731 of file sc_signal_ports.h.

template<class T>
sc_core::sc_out< T >::sc_out ( const char *  name_,
inout_port_type parent_ 
)
inline

Definition at line 1735 of file sc_signal_ports.h.

template<class T>
sc_core::sc_out< T >::sc_out ( this_type parent_)
inline

Definition at line 1739 of file sc_signal_ports.h.

template<class T>
sc_core::sc_out< T >::sc_out ( const char *  name_,
this_type parent_ 
)
inline

Definition at line 1743 of file sc_signal_ports.h.

template<class T>
virtual sc_core::sc_out< T >::~sc_out ( )
inlinevirtual

Definition at line 1750 of file sc_signal_ports.h.

Member Function Documentation

template<class T>
virtual const char* sc_core::sc_out< T >::kind ( ) const
inlinevirtual

Reimplemented from sc_core::sc_inout< T >.

Definition at line 1771 of file sc_signal_ports.h.

template<class T>
this_type& sc_core::sc_out< T >::operator= ( const data_type value_)
inline

Definition at line 1756 of file sc_signal_ports.h.

template<class T>
this_type& sc_core::sc_out< T >::operator= ( const in_if_type interface_)
inline

Definition at line 1759 of file sc_signal_ports.h.

template<class T>
this_type& sc_core::sc_out< T >::operator= ( const in_port_type port_)
inline

Definition at line 1762 of file sc_signal_ports.h.

template<class T>
this_type& sc_core::sc_out< T >::operator= ( const inout_port_type port_)
inline

Definition at line 1765 of file sc_signal_ports.h.

template<class T>
this_type& sc_core::sc_out< T >::operator= ( const this_type port_)
inline

Definition at line 1768 of file sc_signal_ports.h.


The documentation for this class was generated from the following file: