SystemC  2.3.1
Accellera SystemC proof-of-concept library
Public Types | Public Member Functions | List of all members
sc_core::sc_out_resolved Class Reference

#include <sysc/communication/sc_signal_resolved_ports.h>

Inheritance diagram for sc_core::sc_out_resolved:
Inheritance graph
[legend]
Collaboration diagram for sc_core::sc_out_resolved:
Collaboration graph
[legend]

Public Types

typedef sc_out_resolved this_type
 
typedef sc_inout_resolved base_type
 
typedef base_type::data_type data_type
 
typedef base_type::in_if_type in_if_type
 
typedef base_type::in_port_type in_port_type
 
typedef base_type::inout_if_type inout_if_type
 
typedef base_type::inout_port_type inout_port_type
 
- Public Types inherited from sc_core::sc_inout_resolved
typedef sc_dt::sc_logic data_type
 
typedef sc_inout_resolved this_type
 
typedef sc_inout< data_typebase_type
 
typedef base_type::in_if_type in_if_type
 
typedef base_type::in_port_type in_port_type
 
typedef base_type::inout_if_type inout_if_type
 
typedef base_type::inout_port_type inout_port_type
 
- Public Types inherited from sc_core::sc_inout< sc_dt::sc_logic >
typedef sc_dt::sc_logic data_type
 
typedef sc_signal_inout_if
< data_type
if_type
 
typedef sc_port< if_type,
1, SC_ONE_OR_MORE_BOUND
base_type
 
typedef sc_inout< data_typethis_type
 
typedef sc_signal_in_if
< data_type
in_if_type
 
typedef sc_port< in_if_type,
1, SC_ONE_OR_MORE_BOUND
in_port_type
 
typedef if_type inout_if_type
 
typedef base_type inout_port_type
 
- Public Types inherited from sc_core::sc_port_b< sc_signal_inout_if< sc_dt::sc_logic > >
typedef sc_port_base base_type
 
typedef sc_port_b
< sc_signal_inout_if
< sc_dt::sc_logic > > 
this_type
 
typedef this_type port_type
 
- Public Types inherited from sc_core::sc_port_base
typedef sc_port_base this_type
 
- Public Types inherited from sc_core::sc_object
typedef unsigned phase_cb_mask
 

Public Member Functions

 sc_out_resolved ()
 
 sc_out_resolved (const char *name_)
 
 sc_out_resolved (inout_if_type &interface_)
 
 sc_out_resolved (const char *name_, inout_if_type &interface_)
 
 sc_out_resolved (inout_port_type &parent_)
 
 sc_out_resolved (const char *name_, inout_port_type &parent_)
 
 sc_out_resolved (this_type &parent_)
 
 sc_out_resolved (const char *name_, this_type &parent_)
 
virtual ~sc_out_resolved ()
 
this_typeoperator= (const data_type &value_)
 
this_typeoperator= (const in_if_type &interface_)
 
this_typeoperator= (const in_port_type &port_)
 
this_typeoperator= (const inout_port_type &port_)
 
this_typeoperator= (const this_type &port_)
 
virtual const char * kind () const
 
- Public Member Functions inherited from sc_core::sc_inout_resolved
 sc_inout_resolved ()
 
 sc_inout_resolved (const char *name_)
 
 sc_inout_resolved (inout_if_type &interface_)
 
 sc_inout_resolved (const char *name_, inout_if_type &interface_)
 
 sc_inout_resolved (inout_port_type &parent_)
 
 sc_inout_resolved (const char *name_, inout_port_type &parent_)
 
 sc_inout_resolved (this_type &parent_)
 
 sc_inout_resolved (const char *name_, this_type &parent_)
 
virtual ~sc_inout_resolved ()
 
this_typeoperator= (const data_type &value_)
 
this_typeoperator= (const in_if_type &interface_)
 
this_typeoperator= (const in_port_type &port_)
 
this_typeoperator= (const inout_port_type &port_)
 
this_typeoperator= (const this_type &port_)
 
virtual void end_of_elaboration ()
 
- Public Member Functions inherited from sc_core::sc_inout< sc_dt::sc_logic >
 sc_inout ()
 
 sc_inout (const char *name_)
 
 sc_inout (inout_if_type &interface_)
 
 sc_inout (const char *name_, inout_if_type &interface_)
 
 sc_inout (inout_port_type &parent_)
 
 sc_inout (const char *name_, inout_port_type &parent_)
 
 sc_inout (this_type &parent_)
 
 sc_inout (const char *name_, this_type &parent_)
 
virtual ~sc_inout ()
 
const sc_eventdefault_event () const
 
const sc_eventvalue_changed_event () const
 
const sc_eventposedge_event () const
 
const sc_eventnegedge_event () const
 
const data_typeread () const
 
 operator const data_type & () const
 
sc_event_finderpos () const
 
sc_event_finderneg () const
 
bool event () const
 
bool posedge () const
 
bool negedge () const
 
void write (const data_type &value_)
 
this_typeoperator= (const data_type &value_)
 
this_typeoperator= (const in_if_type &interface_)
 
this_typeoperator= (const in_port_type &port_)
 
this_typeoperator= (const inout_port_type &port_)
 
this_typeoperator= (const this_type &port_)
 
void initialize (const data_type &value_)
 
void initialize (const in_if_type &interface_)
 
sc_event_findervalue_changed () const
 
void add_trace_internal (sc_trace_file *, const std::string &) const
 
void add_trace (sc_trace_file *, const std::string &) const
 
- Public Member Functions inherited from sc_core::sc_port< sc_signal_inout_if< sc_dt::sc_logic >, 1, SC_ONE_OR_MORE_BOUND >
 sc_port ()
 
 sc_port (const char *name_)
 
 sc_port (sc_signal_inout_if< sc_dt::sc_logic > &interface_)
 
 sc_port (const char *name_, sc_signal_inout_if< sc_dt::sc_logic > &interface_)
 
 sc_port (base_type &parent_)
 
 sc_port (const char *name_, base_type &parent_)
 
 sc_port (this_type &parent_)
 
 sc_port (const char *name_, this_type &parent_)
 
virtual ~sc_port ()
 
- Public Member Functions inherited from sc_core::sc_port_b< sc_signal_inout_if< sc_dt::sc_logic > >
SC_VIRTUAL_ void bind (sc_signal_inout_if< sc_dt::sc_logic > &interface_)
 
SC_VIRTUAL_ void bind (port_type &parent_)
 
void operator() (sc_signal_inout_if< sc_dt::sc_logic > &interface_)
 
void operator() (port_type &parent_)
 
int size () const
 
sc_signal_inout_if
< sc_dt::sc_logic > * 
operator-> ()
 
const sc_signal_inout_if
< sc_dt::sc_logic > * 
operator-> () const
 
const sc_signal_inout_if
< sc_dt::sc_logic > * 
get_interface (int iface_i) const
 
sc_signal_inout_if
< sc_dt::sc_logic > * 
get_interface (int iface_i)
 
virtual sc_interfaceget_interface ()
 
virtual const sc_interfaceget_interface () const
 
sc_signal_inout_if
< sc_dt::sc_logic > * 
operator[] (int index_)
 
const sc_signal_inout_if
< sc_dt::sc_logic > * 
operator[] (int index_) const
 
- Public Member Functions inherited from sc_core::sc_port_base
int bind_count ()
 
- Public Member Functions inherited from sc_core::sc_object
const char * name () const
 
const char * basename () const
 
virtual void print (::std::ostream &os=::std::cout) const
 
virtual void dump (::std::ostream &os=::std::cout) const
 
virtual void trace (sc_trace_file *tf) const
 
sc_simcontextsimcontext () const
 
bool add_attribute (sc_attr_base &)
 
sc_attr_baseget_attribute (const std::string &name_)
 
const sc_attr_baseget_attribute (const std::string &name_) const
 
sc_attr_baseremove_attribute (const std::string &name_)
 
void remove_all_attributes ()
 
int num_attributes () const
 
sc_attr_cltnattr_cltn ()
 
const sc_attr_cltnattr_cltn () const
 
virtual const std::vector
< sc_event * > & 
get_child_events () const
 
virtual const std::vector
< sc_object * > & 
get_child_objects () const
 
sc_objectget_parent () const
 
sc_objectget_parent_object () const
 

Additional Inherited Members

- Protected Member Functions inherited from sc_core::sc_inout< sc_dt::sc_logic >
void remove_traces () const
 
- Protected Member Functions inherited from sc_core::sc_port_b< sc_signal_inout_if< sc_dt::sc_logic > >
 sc_port_b (int max_size_, sc_port_policy policy=SC_ONE_OR_MORE_BOUND)
 
 sc_port_b (const char *name_, int max_size_, sc_port_policy policy=SC_ONE_OR_MORE_BOUND)
 
virtual ~sc_port_b ()
 
virtual int vbind (sc_interface &)
 
virtual int vbind (sc_port_base &)
 
virtual void make_sensitive (sc_thread_handle, sc_event_finder *=0) const
 
virtual void make_sensitive (sc_method_handle, sc_event_finder *=0) const
 
- Protected Member Functions inherited from sc_core::sc_port_base
 sc_port_base (int max_size_, sc_port_policy policy=SC_ONE_OR_MORE_BOUND)
 
 sc_port_base (const char *name_, int max_size_, sc_port_policy policy=SC_ONE_OR_MORE_BOUND)
 
virtual ~sc_port_base ()
 
void bind (sc_interface &interface_)
 
void bind (this_type &parent_)
 
virtual void before_end_of_elaboration ()
 
virtual void start_of_simulation ()
 
virtual void end_of_simulation ()
 
void report_error (const char *id, const char *add_msg=0) const
 
void add_static_event (sc_method_handle process_p, const sc_event &event) const
 
void add_static_event (sc_thread_handle process_p, const sc_event &event) const
 
- Protected Member Functions inherited from sc_core::sc_object
 sc_object ()
 
 sc_object (const char *nm)
 
 sc_object (const sc_object &)
 
sc_objectoperator= (const sc_object &)
 
virtual ~sc_object ()
 
virtual void add_child_event (sc_event *event_p)
 
virtual void add_child_object (sc_object *object_p)
 
virtual bool remove_child_event (sc_event *event_p)
 
virtual bool remove_child_object (sc_object *object_p)
 
phase_cb_mask register_simulation_phase_callback (phase_cb_mask)
 
phase_cb_mask unregister_simulation_phase_callback (phase_cb_mask)
 
- Protected Attributes inherited from sc_core::sc_inout< sc_dt::sc_logic >
data_typem_init_val
 
sc_trace_params_vecm_traces
 
- Protected Attributes inherited from sc_core::sc_port_base
sc_bind_info * m_bind_info
 

Detailed Description

Definition at line 236 of file sc_signal_resolved_ports.h.

Member Typedef Documentation

Definition at line 244 of file sc_signal_resolved_ports.h.

typedef base_type::data_type sc_core::sc_out_resolved::data_type

Definition at line 246 of file sc_signal_resolved_ports.h.

typedef base_type::in_if_type sc_core::sc_out_resolved::in_if_type

Definition at line 248 of file sc_signal_resolved_ports.h.

typedef base_type::in_port_type sc_core::sc_out_resolved::in_port_type

Definition at line 249 of file sc_signal_resolved_ports.h.

typedef base_type::inout_if_type sc_core::sc_out_resolved::inout_if_type

Definition at line 250 of file sc_signal_resolved_ports.h.

typedef base_type::inout_port_type sc_core::sc_out_resolved::inout_port_type

Definition at line 251 of file sc_signal_resolved_ports.h.

Definition at line 243 of file sc_signal_resolved_ports.h.

Constructor & Destructor Documentation

sc_core::sc_out_resolved::sc_out_resolved ( )
inline

Definition at line 257 of file sc_signal_resolved_ports.h.

sc_core::sc_out_resolved::sc_out_resolved ( const char *  name_)
inlineexplicit

Definition at line 261 of file sc_signal_resolved_ports.h.

sc_core::sc_out_resolved::sc_out_resolved ( inout_if_type interface_)
inlineexplicit

Definition at line 265 of file sc_signal_resolved_ports.h.

sc_core::sc_out_resolved::sc_out_resolved ( const char *  name_,
inout_if_type interface_ 
)
inline

Definition at line 269 of file sc_signal_resolved_ports.h.

sc_core::sc_out_resolved::sc_out_resolved ( inout_port_type parent_)
inlineexplicit

Definition at line 273 of file sc_signal_resolved_ports.h.

sc_core::sc_out_resolved::sc_out_resolved ( const char *  name_,
inout_port_type parent_ 
)
inline

Definition at line 277 of file sc_signal_resolved_ports.h.

sc_core::sc_out_resolved::sc_out_resolved ( this_type parent_)
inline

Definition at line 281 of file sc_signal_resolved_ports.h.

sc_core::sc_out_resolved::sc_out_resolved ( const char *  name_,
this_type parent_ 
)
inline

Definition at line 285 of file sc_signal_resolved_ports.h.

virtual sc_core::sc_out_resolved::~sc_out_resolved ( )
inlinevirtual

Definition at line 292 of file sc_signal_resolved_ports.h.

Member Function Documentation

virtual const char* sc_core::sc_out_resolved::kind ( ) const
inlinevirtual

Reimplemented from sc_core::sc_inout_resolved.

Definition at line 313 of file sc_signal_resolved_ports.h.

this_type& sc_core::sc_out_resolved::operator= ( const data_type value_)
inline

Definition at line 298 of file sc_signal_resolved_ports.h.

this_type& sc_core::sc_out_resolved::operator= ( const in_if_type interface_)
inline

Definition at line 301 of file sc_signal_resolved_ports.h.

this_type& sc_core::sc_out_resolved::operator= ( const in_port_type port_)
inline

Definition at line 304 of file sc_signal_resolved_ports.h.

this_type& sc_core::sc_out_resolved::operator= ( const inout_port_type port_)
inline

Definition at line 307 of file sc_signal_resolved_ports.h.

this_type& sc_core::sc_out_resolved::operator= ( const this_type port_)
inline

Definition at line 310 of file sc_signal_resolved_ports.h.


The documentation for this class was generated from the following file: