SystemC  2.3.1
Accellera SystemC proof-of-concept library
sc_core::sc_signal_rv< W > Member List

This is the complete list of members for sc_core::sc_signal_rv< W >, including all inherited members.

add_attribute(sc_attr_base &)sc_core::sc_object
add_child_event(sc_event *event_p)sc_core::sc_objectprotectedvirtual
add_child_object(sc_object *object_p)sc_core::sc_objectprotectedvirtual
async_request_update()sc_core::sc_prim_channelinline
attr_cltn()sc_core::sc_object
attr_cltn() const sc_core::sc_object
base_type typedefsc_core::sc_signal_rv< W >
basename() const sc_core::sc_object
before_end_of_elaboration()sc_core::sc_prim_channelprotectedvirtual
data_type typedefsc_core::sc_signal_rv< W >
default_event() constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlinevirtual
do_update()sc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >protected
dump(::std::ostream &=::std::cout) constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >virtual
end_of_elaboration()sc_core::sc_prim_channelprotectedvirtual
end_of_simulation()sc_core::sc_prim_channelprotectedvirtual
event() constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlinevirtual
get_attribute(const std::string &name_)sc_core::sc_object
get_attribute(const std::string &name_) const sc_core::sc_object
get_child_events() const sc_core::sc_objectinlinevirtual
get_child_objects() const sc_core::sc_objectinlinevirtual
get_data_ref() constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlinevirtual
get_new_value() constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inline
get_parent() const sc_core::sc_object
get_parent_object() const sc_core::sc_objectinline
get_writer_policy() constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlinevirtual
if_type typedefsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >protected
kind() const sc_core::sc_signal_rv< W >inlinevirtual
list_end enum valuesc_core::sc_prim_channel
m_change_event_psc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >mutableprotected
m_change_stampsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >protected
m_cur_valsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >protected
m_new_valsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >protected
m_proc_vecsc_core::sc_signal_rv< W >protected
m_val_vecsc_core::sc_signal_rv< W >protected
name() const sc_core::sc_objectinline
next_trigger()sc_core::sc_prim_channelinlineprotected
next_trigger(const sc_event &e)sc_core::sc_prim_channelinlineprotected
next_trigger(const sc_event_or_list &el)sc_core::sc_prim_channelinlineprotected
next_trigger(const sc_event_and_list &el)sc_core::sc_prim_channelinlineprotected
next_trigger(const sc_time &t)sc_core::sc_prim_channelinlineprotected
next_trigger(double v, sc_time_unit tu)sc_core::sc_prim_channelinlineprotected
next_trigger(const sc_time &t, const sc_event &e)sc_core::sc_prim_channelinlineprotected
next_trigger(double v, sc_time_unit tu, const sc_event &e)sc_core::sc_prim_channelinlineprotected
next_trigger(const sc_time &t, const sc_event_or_list &el)sc_core::sc_prim_channelinlineprotected
next_trigger(double v, sc_time_unit tu, const sc_event_or_list &el)sc_core::sc_prim_channelinlineprotected
next_trigger(const sc_time &t, const sc_event_and_list &el)sc_core::sc_prim_channelinlineprotected
next_trigger(double v, sc_time_unit tu, const sc_event_and_list &el)sc_core::sc_prim_channelinlineprotected
num_attributes() const sc_core::sc_object
operator const sc_dt::sc_lv< W > &() constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inline
operator=(const data_type &a)sc_core::sc_signal_rv< W >inline
operator=(const this_type &a)sc_core::sc_signal_rv< W >inline
sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >::operator=(const sc_signal_in_if< sc_dt::sc_lv< W > > &a)sc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inline
sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >::operator=(const this_type &a)sc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inline
sc_core::sc_object::operator=(const sc_object &)sc_core::sc_objectinlineprotected
phase_cb_mask typedefsc_core::sc_object
policy_type typedefsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >protected
print(::std::ostream &=::std::cout) constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >virtual
read() constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlinevirtual
register_port(sc_port_base &, const char *)sc_core::sc_signal_rv< W >inlinevirtual
register_simulation_phase_callback(phase_cb_mask)sc_core::sc_objectprotected
remove_all_attributes()sc_core::sc_object
remove_attribute(const std::string &name_)sc_core::sc_object
remove_child_event(sc_event *event_p)sc_core::sc_objectprotectedvirtual
remove_child_object(sc_object *object_p)sc_core::sc_objectprotectedvirtual
request_update()sc_core::sc_prim_channelinline
sc_interface()sc_core::sc_interfaceprotected
sc_object()sc_core::sc_objectprotected
sc_object(const char *nm)sc_core::sc_objectprotected
sc_object(const sc_object &)sc_core::sc_objectprotected
sc_prim_channel()sc_core::sc_prim_channelprotected
sc_prim_channel(const char *)sc_core::sc_prim_channelexplicitprotected
sc_signal()sc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inline
sc_signal(const char *name_)sc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlineexplicit
sc_signal(const char *name_, const sc_dt::sc_lv< W > &initial_value_)sc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inline
sc_signal_in_if()sc_core::sc_signal_in_if< T >inlineprotected
sc_signal_inout_if()sc_core::sc_signal_inout_if< T >inlineprotected
sc_signal_rv()sc_core::sc_signal_rv< W >inline
sc_signal_rv(const char *name_)sc_core::sc_signal_rv< W >inlineexplicit
sc_signal_write_if()sc_core::sc_signal_write_if< T >inline
simcontext() const sc_core::sc_objectinline
start_of_simulation()sc_core::sc_prim_channelprotectedvirtual
this_type typedefsc_core::sc_signal_rv< W >
timed_out()sc_core::sc_prim_channelinlineprotected
trace(sc_trace_file *tf) constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlinevirtual
unregister_simulation_phase_callback(phase_cb_mask)sc_core::sc_objectprotected
update()sc_core::sc_signal_rv< W >inlineprotectedvirtual
update_requested()sc_core::sc_prim_channelinline
value_changed_event() constsc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlinevirtual
wait()sc_core::sc_prim_channelinlineprotected
wait(const sc_event &e)sc_core::sc_prim_channelinlineprotected
wait(const sc_event_or_list &el)sc_core::sc_prim_channelinlineprotected
wait(const sc_event_and_list &el)sc_core::sc_prim_channelinlineprotected
wait(const sc_time &t)sc_core::sc_prim_channelinlineprotected
wait(double v, sc_time_unit tu)sc_core::sc_prim_channelinlineprotected
wait(const sc_time &t, const sc_event &e)sc_core::sc_prim_channelinlineprotected
wait(double v, sc_time_unit tu, const sc_event &e)sc_core::sc_prim_channelinlineprotected
wait(const sc_time &t, const sc_event_or_list &el)sc_core::sc_prim_channelinlineprotected
wait(double v, sc_time_unit tu, const sc_event_or_list &el)sc_core::sc_prim_channelinlineprotected
wait(const sc_time &t, const sc_event_and_list &el)sc_core::sc_prim_channelinlineprotected
wait(double v, sc_time_unit tu, const sc_event_and_list &el)sc_core::sc_prim_channelinlineprotected
wait(int n)sc_core::sc_prim_channelinlineprotected
write(const data_type &)sc_core::sc_signal_rv< W >inlinevirtual
sc_core::sc_signal_inout_if::write(const T &)=0sc_core::sc_signal_write_if< T >pure virtual
~sc_interface()sc_core::sc_interfacevirtual
~sc_object()sc_core::sc_objectprotectedvirtual
~sc_prim_channel()sc_core::sc_prim_channelprotectedvirtual
~sc_signal()sc_core::sc_signal< sc_dt::sc_lv< W >, SC_MANY_WRITERS >inlinevirtual
~sc_signal_rv()sc_core::sc_signal_rv< W >inlinevirtual