Variable Index
$#! · 0-9 · A · B · C · D · E · F · G · H · I · J · K · L · M · N · O · P · Q · R · S · T · U · V · W · X · Y · Z
$#!
 +UVM_CONFIG_DB_TRACE
 +UVM_DUMP_CMDLINE_ARGS
 +UVM_MAX_QUIT_COUNT
 +UVM_OBJECTION_TRACE
 +UVM_PHASE_TRACE
 +UVM_RESOURCE_DB_TRACE
 +uvm_set_action
 +uvm_set_config_int
 +uvm_set_config_string
 +uvm_set_default_sequence
 +uvm_set_inst_override
 +uvm_set_severity
 +uvm_set_type_override
 +uvm_set_verbosity
 +UVM_TESTNAME
 +UVM_TIMEOUT
 +UVM_VERBOSITY
A
 abstract
 abstractions
 adapter
 addr
B
 bd_kind
 begin_elements
 begin_event
 big_endian
 bin_radix
 body
 bus_in
 byte_en
C
 check_type
D
 data
 dec_radix
 default_alloc
 default_map
 default_path
 default_precedence
 default_radix
 depth
 do_not_randomize
E
 element
 element_kind
 enable_print_topology
 enable_report_id_count_summary
 end_elements
 end_event
 end_offset
 events
 extension
F
 fifo
 finish_on_completion
 fname
 footer
 full_name
H
 header
 hex_radix
I
 identifier
 in_use
 indent
K
 kind
 knobs
L
 len
 lineno
 local_map
 locality
M
 m_address
 m_byte_enable
 m_byte_enable_length
 m_command
 m_data
 m_dmi
 m_length
 m_response_status
 m_streaming_width
 mam
 map
 max_offset
 max_random_count
 mcd
 mem
 mem_seq
 min_offset
 min_random_count
 miscompares
 mode
 model
N
 n_bits
 n_bytes
 new
O
 oct_radix
 offset
P
 parent
 parent_sequence
 path
 physical
 policy
 precedence
 prefix
 print_config_matches
 print_enabled
 prior
 provides_responses
R
 record_all_messages
 recursion_policy
 reference
 reg_ap
 reg_seq
 reg_seqr
 req
 result
 rg
 rsp
 rw_info
S
 select_rand
 select_randc
 selection_mode
 separator
 seq_item_export
 sequence_count
 sequencer
 sequences_executed
 sev
 show_max
 show_radix
 show_root
 show_terminator
 show_verbosity
 size
 slices
 start_offset
 status
 supports_byte_enable
T
 T1 first
 T2 second
 tests
 top_levels
 tr_database
 type_name
U
 unsigned_radix
 use_metadata
 use_uvm_seeding
 uvm_default_comparer
 uvm_default_line_printer
 uvm_default_packer
 uvm_default_printer
 uvm_default_table_printer
 uvm_default_tree_printer
 UVM_HDL_MAX_WIDTH
 uvm_top
V
 value
 verbosity
+UVM_CONFIG_DB_TRACE turns on tracing of configuration DB access.
+UVM_DUMP_CMDLINE_ARGS allows the user to dump all command line arguments to the reporting mechanism.
+UVM_MAX_QUIT_COUNT=<count>,<overridable> allows users to change max quit count for the report server.
+UVM_OBJECTION_TRACE turns on tracing of objection activity.
+UVM_PHASE_TRACE turns on tracing of phase executions.
+UVM_RESOURCE_DB_TRACE turns on tracing of resource DB access.
+uvm_set_action=<comp>,<id>,<severity>,<action> provides the equivalent of various uvm_report_object’s set_report_*_action APIs.
+uvm_set_config_int=<comp>,<field>,<value> and +uvm_set_config_string=<comp>,<field>,<value> work like their procedural counterparts: set_config_int() and set_config_string().
The +uvm_set_default_sequence=<seqr>,<phase>,<type> plusarg allows the user to define a default sequence from the command line, using the typename of that sequence.
+uvm_set_severity=<comp>,<id>,<current severity>,<new severity> provides the equivalent of the various uvm_report_object’s set_report_*_severity_override APIs.
+uvm_set_inst_override=<req_type>,<override_type>,<full_inst_path> and +uvm_set_type_override=<req_type>,<override_type>[,<replace>] work like the name based overrides in the factory--factory.set_inst_override_by_name() and factory.set_type_override_by_name().
+uvm_set_verbosity=<comp>,<id>,<verbosity>,<phase> and +uvm_set_verbosity=<comp>,<id>,<verbosity>,time,<time> allow the users to manipulate the verbosity of specific components at specific phases (and times during the “run” phases) of the simulation.
+UVM_TESTNAME=<class name> allows the user to specify which uvm_test (or uvm_component) should be created via the factory and cycled through the UVM phases.
+UVM_TIMEOUT=<timeout>,<overridable> allows users to change the global timeout of the UVM framework.
+UVM_VERBOSITY=<verbosity> allows the user to specify the initial verbosity for all components.
bit abstract = 1
This bit provides a filtering mechanism for fields.
bit abstract
This bit provides a filtering mechanism for fields.
bit abstract = 1
This bit provides a filtering mechanism for fields.
string abstractions[$]
If set, check the HDL paths for the specified design abstractions.
uvm_reg_adapter adapter
The adapter used to convey the parameters of a bus operation in terms of a canonical uvm_reg_bus_op datum.
uvm_reg_adapter adapter
Adapter to use for translating between abstract register transactions and physical bus transactions, defined only when this sequence is a translation sequence.
uvm_reg_addr_t addr
The bus address.
string bd_kind
If path is UVM_BACKDOOR, this member specifies the abstraction kind for the backdoor access, e.g.
int begin_elements = 5
Defines the number of elements at the head of a list to print.
uvm_event#(
    uvm_object
) begin_event
A uvm_event#(uvm_object) that is triggered when this transaction’s actual execution on the bus begins, typically as a result of a driver calling uvm_component::begin_tr.
bit big_endian = 1
This bit determines the order that integral data is packed (using pack_field, pack_field_int, pack_time, or pack_real) and how the data is unpacked from the pack array (using unpack_field, unpack_field_int, unpack_time, or unpack_real).
string bin_radix = "'b"
This string should be prepended to the value of an integral type when a radix of UVM_BIN is used for the radix of the integral object.
virtual task body()
Executes the Hardware Reset sequence.
uvm_analysis_imp #(
      BUSTYPE,
    uvm_reg_predictor  #(BUSTYPE)
) bus_in
Observed bus transactions of type BUSTYPE are received from this port and processed.
uvm_reg_byte_en_t byte_en
Enables for the byte lanes on the bus.
bit check_type = 1
This bit determines whether the type, given by uvm_object::get_type_name, is used to verify that the types of two objects are the same.
uvm_reg_data_t data
The data to write.
string dec_radix = "'d"
This string should be prepended to the value of an integral type when a radix of UVM_DEC is used for the radix of the integral object.
uvm_mem_mam_policy default_alloc
Region allocation policy
uvm_reg_map default_map
Default address map
uvm_path_e default_path = UVM_DEFAULT_PATH
Default access path for the registers and memories in this block.
static int unsigned default_precedence = 1000
The default precedence for an resource that has been created.
uvm_radix_enum default_radix = UVM_HEX
This knob sets the default radix to use for integral values when no radix enum is explicitly supplied to the uvm_printer::print_field or uvm_printer::print_field_int methods.
uvm_radix_enum default_radix = UVM_HEX
This is the default radix setting if record_field is called without a radix.
int depth = -1
Indicates how deep to recurse when printing objects.
bit do_not_randomize
If set, prevents the sequence from being randomized before being executed by the `uvm_do*() and `uvm_rand_send*() macros, or as a default sequence.
uvm_object element
A handle to the RegModel model element associated with this transaction.
uvm_elem_kind_e element_kind
Kind of element being accessed: REG, MEM, or FIELD.
bit enable_print_topology = 0
If set, then the entire testbench topology is printed just after completion of the end_of_elaboration phase.
bit enable_report_id_count_summary=1
A flag to enable report count summary for each ID
int end_elements = 5
This defines the number of elements at the end of a list that should be printed.
uvm_event#(
    uvm_object
) end_event
A uvm_event#(uvm_object) that is triggered when this transaction’s actual execution on the bus ends, typically as a result of a driver calling uvm_component::end_tr.
rand bit [63:0] end_offset
Last address of managed space
const uvm_event_pool events = new
The event pool instance for this transaction.
rand uvm_object extension
Handle to optional user data, as conveyed in the call to write(), read(), mirror(), or update() used to trigger the operation.
rand uvm_reg_data_t fifo[$]
The abstract representation of the FIFO.
bit finish_on_completion = 1
If set, then run_test will call $finish after all phases are executed.
string fname
The file name from where this transaction originated, if provided at the call site.
bit footer = 1
Indicates whether the uvm_printer::format_footer function should be called when printing an object.
bit full_name = 0
Indicates whether uvm_printer::adjust_name should print the full name of an identifier or just the leaf name.
bit header = 1
Indicates whether the uvm_printer::format_header function should be called when printing an object.
string hex_radix = "'h"
This string should be prepended to the value of an integral type when a radix of UVM_HEX is used for the radix of the integral object.
bit identifier = 1
Indicates whether uvm_printer::adjust_name should print the identifier.
bit identifier = 1
This bit is used to specify whether or not an object’s reference should be recorded when the object is recorded.
uvm_mem_region in_use[$]
Regions already allocated in the managed address space
int indent = 2
This knob specifies the number of spaces to use for level indentation.
uvm_access_e kind
Kind of access: READ or WRITE.
rand uvm_access_e kind
Kind of access: READ or WRITE.
uvm_printer_knobs knobs = new
The knob object provides access to the variety of knobs associated with a specific printer instance.
int unsigned len
Number of addresses required
int lineno
The file name from where this transaction originated, if provided at the call site.
uvm_reg_map local_map
The local map used to obtain addresses.
rand uvm_mem_mam::locality_e locality
Region location mode
rand bit [63:0] m_address
Address for the bus operation.
rand byte unsigned m_byte_enable[]
Indicates valid m_data array elements.
rand int unsigned m_byte_enable_length
The number of elements in the m_byte_enable array.
rand uvm_tlm_command_e m_command
Bus operation type.
rand byte unsigned m_data[]
Data read or to be written.
bit m_dmi
DMI mode is not yet supported in the UVM TLM2 subset.
rand int unsigned m_length
The number of bytes to be copied to or from the m_data array, inclusive of any bytes disabled by the m_byte_enable attribute.
rand uvm_tlm_response_status_e m_response_status
Status of the bus operation.
rand int unsigned m_streaming_width
Number of bytes transferred on each beat.
uvm_mem_mam mam
Memory allocation manager
uvm_reg_map map
The original map specified for the operation.
uvm_reg_map map
The map used to convert a bus address to the corresponding register or memory handle.
bit [63:0] max_offset
Maximum address offset in the managed address space
int unsigned max_random_count=10
Sets the maximum number of items to execute.
int mcd = UVM_STDOUT
This is a file descriptor, or multi-channel descriptor, that specifies where the print output should be directed.
uvm_mem mem
The memory to be tested
uvm_mem mem
The memory to be tested
uvm_mem mem
The memory to test; must be assigned prior to starting sequence.
protected uvm_mem_single_access_seq mem_seq
The sequence used to test one memory
protected uvm_mem_single_walk_seq mem_seq
The sequence used to test one memory
protected uvm_mem_shared_access_seq mem_seq
The sequence used to test one memory
bit [63:0] min_offset
Minimum address offset in the managed address space
int unsigned min_random_count=10
Sets the minimum number of items to execute.
string miscompares = ""
This string is reset to an empty string when a comparison is started.
rand uvm_mem_mam::alloc_mode_e mode
Region allocation mode
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested.
The block to be tested
uvm_reg_block model
Block abstraction this sequence executes on, defined only when this sequence is a user-defined test sequence.
int n_bits
The number of bits of uvm_reg_item::value being transferred by this transaction.
rand int unsigned n_bytes
Number of bytes in each memory location
function new()
Creates a new instance of uvm_line_printer.
function new()
Creates a new instance of uvm_table_printer.
function new()
Creates a new instance of uvm_tree_printer.
string oct_radix = "'o"
This string should be prepended to the value of an integral type when a radix of UVM_OCT is used for the radix of the integral object.
rand uvm_reg_addr_t offset
For memory accesses, the offset address.
rand uvm_sequence_base parent
The sequence from which the operation originated.
uvm_sequence_base parent_sequence
Set this member in extensions of this class if the bus driver requires bus items be executed via a particular sequence base type.
uvm_path_e path
The path being used: UVM_FRONTDOOR or UVM_BACKDOOR.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
bit physical = 1
This bit provides a filtering mechanism for fields.
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Determines whether comparison is UVM_DEEP, UVM_REFERENCE, or UVM_SHALLOW.
int unsigned precedence
This variable is used to associate a precedence that a resource has with respect to other resources which match the same scope and name.
string prefix = ""
Specifies the string prepended to each output line
static bit print_config_matches
Setting this static variable causes uvm_config_db::get() to print info about matching configuration settings as they are being applied.
bit print_enabled = 1
This bit determines if this component should automatically be printed as a child of its parent object.
int prior = -1
The priority requested of this transfer, as defined by uvm_sequence_base::start_item.
bit provides_responses
Set this bit in extensions of this class if the bus driver provides separate response items.
bit record_all_messages = 0
A flag to force recording of all messages (add UVM_RM_RECORD action)
uvm_recursion_policy_enum policy = UVM_DEFAULT_POLICY
Sets the recursion policy for recording objects.
bit reference = 1
Controls whether to print a unique reference ID for object handles.
uvm_analysis_port #(
    uvm_reg_item
) reg_ap
Analysis output port that publishes uvm_reg_item transactions converted from bus transactions received on bus_in.
protected uvm_reg_single_access_seq reg_seq
The sequence used to test one register
protected uvm_reg_single_bit_bash_seq reg_seq
The sequence used to test one register
protected uvm_reg_shared_access_seq reg_seq
The sequence used to test one register
uvm_sequencer #(
    uvm_reg_item
) reg_seqr
Layered upstream “register” sequencer.
REQ req
The sequence contains a field of the request type called req.
int unsigned result = 0
This bit stores the number of miscompares for a given compare operation.
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
uvm_reg rg
The register to be tested
RSP rsp
The sequence contains a field of the response type called rsp.
uvm_reg_item rw_info
Holds information about the register being read or written
rand int unsigned select_rand
The index variable that is randomized to select the next sequence to execute when in UVM_SEQ_LIB_RAND mode
randc bit [15:0] select_randc
The index variable that is randomized to select the next sequence to execute when in UVM_SEQ_LIB_RANDC mode
uvm_sequence_lib_mode selection_mode
Specifies the mode used to select sequences for execution
string separator = "{}"
For tree printers only, determines the opening and closing separators used for nested objects.
uvm_seq_item_pull_imp #(
    REQ,
    RSP,
    this_type
) seq_item_export
This export provides access to this sequencer’s implementation of the sequencer interface.
rand int unsigned sequence_count = 10
Specifies the number of sequences to execute when this sequence library is started.
uvm_sequencer_base sequencer
Sequencer executing the operation
protected int unsigned sequences_executed
Indicates the number of sequences executed, not including the currently executing sequence, if any.
uvm_severity sev = UVM_INFO
Sets the severity for printed messages.
int unsigned show_max = 1
Sets the maximum number of messages to send to the printer for miscompares of an object.
bit show_radix = 1
Indicates whether the radix string (‘h, and so on) should be prepended to an integral value when one is printed.
bit show_root = 0
This setting indicates whether or not the initial object that is printed (when current depth is 0) prints the full path name.
bit show_terminator = 0
A flag to add a terminator in the messages, e.g.
bit show_verbosity = 0
A flag to include verbosity in the messages, e.g.
bit size = 1
Controls whether to print a field’s size.
uvm_hdl_path_slice slices[]
Array of individual slices, stored in most-to-least significant order
rand bit [63:0] start_offset
The starting offset of the region
uvm_status_e status
The result of the transaction: UVM_IS_OK, UVM_HAS_X, UVM_NOT_OK.
uvm_status_e status
The result of the transaction: IS_OK, HAS_X, or ERROR.
bit supports_byte_enable
Set this bit in extensions of this class if the bus protocol supports byte enables.
T1 first
The first value in the pair
T1 first
The handle to the first object in the pair
T2 second
The second value in the pair
T2 second
The handle to the second object in the pair
bit [63:0] tests = UVM_DO_ALL_REG_MEM_TESTS
The pre-defined test sequences to be executed.
uvm_component top_levels[$]
This variable is a list of all of the top level components in UVM.
uvm_tr_database tr_database
Specifies the uvm_tr_database object to use for begin_tr and other methods in the Recording Interface.
bit type_name = 1
Controls whether to print a field’s type name.
string unsigned_radix = "'d"
This is the string which should be prepended to the value of an integral type when a radix of UVM_UNSIGNED is used for the radix of the integral object.
bit use_metadata
This flag indicates whether to encode metadata when packing dynamic data, or to decode metadata when unpacking.
static bit use_uvm_seeding = 1
This bit enables or disables the UVM seeding mechanism.
uvm_comparer uvm_default_comparer = new()
The default compare policy.
uvm_line_printer uvm_default_line_printer = new()
The line printer is a global object that can be used with uvm_object::do_print to get single-line style printing.
uvm_packer uvm_default_packer = new()
The default packer policy.
uvm_printer uvm_default_printer = uvm_default_table_printer
The default printer policy.
uvm_table_printer uvm_default_table_printer = new()
The table printer is a global object that can be used with uvm_object::do_print to get tabular style printing.
uvm_tree_printer uvm_default_tree_printer = new()
The tree printer is a global object that can be used with uvm_object::do_print to get multi-line tree style printing.
parameter int UVM_HDL_MAX_WIDTH = `UVM_HDL_MAX_WIDTH
Sets the maximum size bit vector for backdoor access.
const uvm_root uvm_top = uvm_root::get()
This is the top-level that governs phase execution and provides component search interface.
rand uvm_reg_data_t value
Mirrored field value.
rand uvm_reg_data_t value[]
The value to write to, or after completion, the value read from the DUT.
int unsigned verbosity = UVM_LOW
Sets the verbosity for printed messages.