TLM2 Export Classes

This section defines the export classes for connecting TLM2 interfaces.

Contents
TLM2 Export ClassesThis section defines the export classes for connecting TLM2 interfaces.
uvm_tlm_b_transport_exportBlocking transport export class.
uvm_tlm_nb_transport_fw_exportNon-blocking forward transport export class
uvm_tlm_nb_transport_bw_exportNon-blocking backward transport export class

uvm_tlm_b_transport_export

Blocking transport export class.

Summary
uvm_tlm_b_transport_export
Blocking transport export class.
Class Hierarchy
uvm_port_base#(uvm_tlm_if#(T))
uvm_tlm_b_transport_export
Class Declaration
class uvm_tlm_b_transport_export #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))

uvm_tlm_nb_transport_fw_export

Non-blocking forward transport export class

Summary
uvm_tlm_nb_transport_fw_export
Non-blocking forward transport export class
Class Hierarchy
uvm_port_base#(uvm_tlm_if#(T,P))
uvm_tlm_nb_transport_fw_export
Class Declaration
class uvm_tlm_nb_transport_fw_export #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))

uvm_tlm_nb_transport_bw_export

Non-blocking backward transport export class

Summary
uvm_tlm_nb_transport_bw_export
Non-blocking backward transport export class
Class Hierarchy
uvm_port_base#(uvm_tlm_if#(T,P))
uvm_tlm_nb_transport_bw_export
Class Declaration
class uvm_tlm_nb_transport_bw_export #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Methods
new
class uvm_tlm_b_transport_export #(
    type  T  =  uvm_tlm_generic_payload
) extends uvm_port_base #(uvm_tlm_if #(T))
Blocking transport export class.
class uvm_tlm_nb_transport_fw_export #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Non-blocking forward transport export class
class uvm_tlm_nb_transport_bw_export #(
    type  T  =  uvm_tlm_generic_payload,
    type  P  =  uvm_tlm_phase_e
) extends uvm_port_base #(uvm_tlm_if #(T,P))
Non-blocking backward transport export class