Sequence Item Pull Ports

This section defines the port, export, and imp port classes for communicating sequence items between uvm_sequencer #(REQ,RSP) and uvm_driver #(REQ,RSP).

Contents
Sequence Item Pull PortsThis section defines the port, export, and imp port classes for communicating sequence items between uvm_sequencer #(REQ,RSP) and uvm_driver #(REQ,RSP).
uvm_seq_item_pull_port #(REQ,RSP)UVM provides a port, export, and imp connector for use in sequencer-driver communication.
uvm_seq_item_pull_export #(REQ,RSP)This export type is used in sequencer-driver communication.
uvm_seq_item_pull_imp #(REQ,RSP,IMP)This imp type is used in sequencer-driver communication.

uvm_seq_item_pull_port #(REQ,RSP)

UVM provides a port, export, and imp connector for use in sequencer-driver communication.  All have standard port connector constructors, except that uvm_seq_item_pull_port’s default min_size argument is 0; it can be left unconnected.

Summary
uvm_seq_item_pull_port #(REQ,RSP)
UVM provides a port, export, and imp connector for use in sequencer-driver communication.
Class Hierarchy
uvm_port_base#(uvm_sqr_if_base#(REQ,RSP))
uvm_seq_item_pull_port#(REQ,RSP)
Class Declaration
class uvm_seq_item_pull_port #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))

uvm_seq_item_pull_export #(REQ,RSP)

This export type is used in sequencer-driver communication.  It has the standard constructor for exports.

Summary
uvm_seq_item_pull_export #(REQ,RSP)
This export type is used in sequencer-driver communication.
Class Hierarchy
uvm_port_base#(uvm_sqr_if_base#(REQ,RSP))
uvm_seq_item_pull_export#(REQ,RSP)
Class Declaration
class uvm_seq_item_pull_export #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))

uvm_seq_item_pull_imp #(REQ,RSP,IMP)

This imp type is used in sequencer-driver communication.  It has the standard constructor for imp-type ports.

Summary
uvm_seq_item_pull_imp #(REQ,RSP,IMP)
This imp type is used in sequencer-driver communication.
Class Hierarchy
uvm_port_base#(uvm_sqr_if_base#(REQ,RSP))
uvm_seq_item_pull_imp#(REQ,RSP,IMP)
Class Declaration
class uvm_seq_item_pull_imp #(
    type  REQ  =  int,
    type  RSP  =  REQ,
    type  IMP  =  int
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
Methods
new
class uvm_sequencer #(
    type  REQ  =  uvm_sequence_item,
      RSP  =  REQ
) extends uvm_sequencer_param_base #(REQ, RSP)
class uvm_driver #(
    type  REQ  =  uvm_sequence_item,
    type  RSP  =  REQ
) extends uvm_component
The base class for drivers that initiate requests for new transactions via a uvm_seq_item_pull_port.
class uvm_seq_item_pull_port #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
UVM provides a port, export, and imp connector for use in sequencer-driver communication.
class uvm_seq_item_pull_export #(
    type  REQ  =  int,
    type  RSP  =  REQ
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
This export type is used in sequencer-driver communication.
class uvm_seq_item_pull_imp #(
    type  REQ  =  int,
    type  RSP  =  REQ,
    type  IMP  =  int
) extends uvm_port_base #(uvm_sqr_if_base #(REQ, RSP))
This imp type is used in sequencer-driver communication.