Logging in

Logging in with either Google or Facebook

You can log in very simply using either your Google or your Facebook account. Simply click on either the Google button or the Facebook button on the log in page.

Validating your Account

In order to use certain simulators on EDA Playground (currently Synopsys VCS and Cadence Incisive), you will need to supply some additional indentification information, including a company, organisational or institutional email address.

You can reach the validation page by clicking run whilst having selected either Synopsys VCS or Cadence Incisive from the Tools & Simulators menu or by visiting: http://courses.edaplayground.com/validate directly.

Enter your details in the form; read the terms and conditions carefully and, if you agree, click I Agree. An email will then be sent to the email address you entered. Open that email and click on the link it contains and your account will be validated.

Doulos Online Training Customers

If you have purchased a place on a Doulos online training course and have been sent a username and password, you can enter them in the Username and Password boxes and then can log in by clicking on Login. You will then be directed to the main EDA Playground page and you will see your training course listed on the left hand side. If you click on that, you will see links to some further instructions and to all the exercises for your training course.

FAQ

How do I validate my account?

You can reach the validation page by clicking run whilst having selected either Synopsys VCS or Cadence Incisive from the Tools & Simulators menu or by visiting: https://courses.edaplayground.com/login and clicking on ‘Register for a full account’. You will need to supply some additional identification information, including a company, organisational or institutional email address.

Enter your details in the form; read the terms and conditions carefully and, if you agree, click I Agree. An email will then be sent to the email address you entered. Open that email and click on the link it contains and your account will be validated.

I don’t have a company or institutional email address. How can I validate my account?

Are you sure? Are you perhaps a member of a professional institution that gives you an email address?

Are you sure you need to? Using Aldec Riviera Pro does not require account validation: this is a commercial simulator, which supports VHDL, Verilog, System-Verilog and UVM.

Which simulators require account validation?

  • Synopsys VCS

  • Cadence Incisive

What can I do without account validation?

Pretty much everything. Using Aldec Riviera Pro does not require account validation: this is a commercial simulator, which supports VHDL, Verilog, System-Verilog and UVM. Using Cadence Specman does not require account validation, either.

I didn’t receive your email, what can I do?

So, first check you spam folder to make sure our email is not stuck there. If not, check the email address you entered was correct (for example, people often seem to add ‘.com’ instead of ‘.edu’; people often seem to be combining gmail addresses with work, for example people often seem to type something like ‘myname1982@somerealcompany.com’).

If all that fails, get in touch with me (Matthew) at getedaplayground@gmail.com.

Why is account validation necessary?

Unfortunately, following some abuse of this privilige on EDA Playground, we have had to restrict access to some of the simulators. EDA Playground enables you to use some commercial, professional simulators, completely free of charge. In order to use some simulators, asking for some identification information and the agreement not to abuse this privilige doesn’t seem much to ask.

How do I log in if I don’t have a Google or Facebook account?

If you have an organisational/company/institutional email address then you can register from the login page. Click on Register for a full account or No Google or Facebook account?.